基於案例的電子係統設計與實踐 epub pdf  mobi txt 電子書 下載

基於案例的電子係統設計與實踐 epub pdf mobi txt 電子書 下載 2024

基於案例的電子係統設計與實踐 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
於天河,薛楠 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-17

商品介绍



齣版社: 清華大學齣版社
ISBN:9787302457138
版次:1
商品編碼:12031553
包裝:平裝
開本:16開
齣版時間:2016-12-01
用紙:膠版紙
頁數:245
字數:392000

基於案例的電子係統設計與實踐 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

  《基於案例的電子係統設計與實踐》在內容上從基礎軟件入手,注重實用性,以案例的形式給齣多個電子設計具體實現的方法。

  本書共分13章,包括電子電路CAD設計基礎與案例,四個模擬電子數字電子方麵的設計案例、五個以單片機為主的設計案例。目的在於培養學生電子係統的綜閤設計能力,以適應信息時代對相關專業學生知識結構與實踐能力的要求。本書的特點是結構新穎,選用的案例具有較強的實用性和層次性,內容上注重理論與實踐相結閤,著力加強實踐性與工程性的訓練。

  本書除作為高等院校電子信息類專業的教材外,還可作為大學生課外電子製作、電子設計競賽和相關工程技術人員的實用參考書與培訓教材。


內頁插圖

目錄

第1章印製電路闆認知

1.1項目導讀

1.2基礎知識——印製電路闆

1.2.1印製電路闆的基本組成

1.2.2印製電路闆的基本概念

1.2.3印製電路闆的種類

1.2.4印製電路闆的工作層麵

1.3項目實訓——印製電路闆的設計與製作

1.3.1項目參考

1.3.2項目實施過程

第2章集成元件庫設計

2.1項目導讀

2.2基礎知識——元件原理圖庫、PCB元件封裝庫

2.2.1元件原理圖庫編輯器

2.2.2常用的工作麵闆及操作

2.2.3元件原理圖庫的圖紙屬性

2.2.4元件原理圖庫的視圖操作

2.2.5PCB元件封裝庫編輯器

2.2.6PCB Library工作麵闆

2.2.7PCB元件封裝庫的圖紙屬性

2.3項目實訓——集成元件庫的設計與元件製作

2.3.1項目參考

2.3.2項目實施過程

第3章電路原理圖設計

3.1項目導讀

3.2基礎知識——電路原理圖設計

3.2.1原理圖編輯器

3.2.2Libraries工作麵闆

3.2.3原理圖圖紙的設置

3.2.4原理圖優先選項

3.3項目實訓——電路原理圖設計

3.3.1項目參考

3.3.2項目實施過程

第4章印製電路闆設計

4.1項目導讀

4.2基礎知識——PCB設計

4.2.1PCB編輯器

4.2.2PCB工作麵闆

4.2.3PCB優先選項

4.2.4電路闆的規劃設置

4.2.5PCB設計規則

4.3項目實訓——PCB設計

4.3.1項目參考

4.3.2項目實施過程

第5章直流電源電路設計

5.0引言

5.1設計任務及要求

5.1.1設計任務

5.1.2要求

5.2係統整體方案設計

5.2.1設計原理

5.2.2各部分的電路設計

5.3元件參數選擇

5.4電路仿真調試及部分結果圖

5.5設計分析

第6章音頻功率放大器設計

6.0引言

6.1設計任務及要求

6.2音頻功率放大基礎

6.2.1功率放大器常見名詞

6.2.2功率放大原理及分類

6.3設計方案

6.3.1前置放大電路

6.3.2功率放大器

6.4仿真與實現

6.4.1前置放大電路的仿真

6.4.2功率放大器的設計

6.4.3仿真模擬結果

6.4.4實現方案所需元器件

6.5設計分析

第7章低通濾波器設計

7.0引言

7.1設計任務及設計要求

7.1.1設計任務

7.1.2設計要求

7.2原理分析

7.2.1濾波器的分類

7.2.2無源器件的頻域模型

7.2.3運算放大器的基本原理

7.2.4濾波器電路分析

7.3電路設計

7.3.1Multisim使用入門

7.3.2有源低通濾波器的仿真電路設計

7.4仿真結果與驗證

第8章數字顯示定時報警器設計

8.0引言

8.1設計任務及要求

8.2設計方案

8.3硬件電路設計

8.3.1方波信號源設計

8.3.2計時與顯示

8.3.3復位電路

8.3.4最後三秒聲響部分

8.3.5停止電路

8.3.6總體電路

第9章超聲波測距儀設計

9.0引言

9.1設計任務及要求

9.2設計方案論證

9.3係統硬件設計

9.3.1主控製模塊

9.3.2主控芯片——STC89C52RC

9.3.3晶振電路

9.3.4復位電路

9.3.5顯示電路——LCD12864液晶顯示屏

9.3.6超聲波測試模塊

9.3.7報警電路設計

9.4軟件設計

9.5係統測試及結果

9.5.1係統硬件測試

9.5.2係統軟件測試

9.5.3測試結果

第10章電子密碼鎖設計

10.0引言

10.1設計任務及要求

10.2設計方案論證

10.3係統硬件設計

10.3.1電路總體構成

10.3.2矩陣鍵盤

10.3.3開鎖控製電路

10.3.4報警電路

10.3.5密碼存儲電路

10.4係統軟件設計

10.4.1係統程序設計流程圖

10.4.2係統程序設計

10.5係統測試及結果

第11章函數信號發生器設計

11.0引言

11.1設計任務及要求

11.2係統整體方案設計

11.3係統硬件設計

11.3.1硬件電路總設計

11.3.2數模轉換器DAC0832

11.3.3放大電路

11.3.4按鍵模塊

11.3.5顯示模塊

11.4軟件設計

11.4.1主程序流程圖

11.4.2主程序

11.5係統測試及結果

11.5.1係統硬件測試

11.5.2係統軟件測試

第12章數控穩壓電源設計

12.0引言

12.1設計任務及要求

12.2係統整體方案設計

12.3係統硬件設計

12.3.1電源模塊設計

12.3.2數控穩壓輸齣模塊

12.4軟件設計

12.4.1主程序流程圖

12.5係統測試及結果

12.5.1係統硬件測試

12.5.2係統軟件測試

12.5.3測試結果

第13章智能溫度測控係統設計

13.0引言

13.1設計任務及要求

13.2係統整體方案設計

13.3係統硬件設計

13.3.1主控製單元

13.3.2溫度傳感器DS18B20

13.3.3可控矽移相觸發電路

13.4軟件設計

13.4.1主程序流程圖

13.4.2PID控製算法

13.5係統測試及結果

13.5.1係統硬件測試

13.5.2係統軟件測試

參考文獻


前言/序言

  前言

  “電子設計及實踐”是電子信息類、電氣信息類專業的一門實踐課程。針對信息化社會中電子應用領域的不斷擴大,結閤目前普通高等院校應用教學的案例式教育理念的需要,我們編寫本書。

  傳統的理論性教材注重係統性和全麵性,但實用性和實際效果並不是很好。基於案例式的工程教育理論的教學模式注重學生綜閤能力的培養,在教學過程中以學生未來職業角色為核心,以社會需求為導嚮,兼顧理論內容與實踐技術內容的個性化培養方案,將課內教學與課外實踐活動融為一體,形成課內理論教學和課外實踐活動的良性互動。通過教學實踐錶明,該種教學模式對培養學生的創新思維和提高學生的實踐能力有很好的作用。

  本書主要內容包括Protel電子電路設計軟件安裝與應用,基於模電、數電的設計案例,基於單片機的設計案例三大模塊。第1~4章為電子綫路設計軟件Protel DXP 2004 SP2的使用教程; 第5~8章是模電、數電的案例設計,包括直流電源電路設計、音頻功率放大器設計、低通濾波器設計、數字顯示定時報警器設計; 第9~13章是單片機的智能控製案例設計,包括超聲波測距係統設計、電子密碼鎖係統設計、函數信號發生器的設計、數控穩壓電源設計、智能控溫係統設計。本書以案例的形式講述瞭眾多貼近生活的電子係統的相關技術,目的是通過本書的學習,使讀者瞭解和掌握多種電子係統的組成,並具有一定的電子係統軟、硬件設計能力。

  本書的主要特色:

  (1) 突齣設計能力的培養,突破傳統教材章節編排知識的係統和邏輯,根據實際項目開發步驟,讓讀者在完成任務的過程中學習相關知識。以項目案例為核心,實踐、實驗與理論相結閤,相互滲透,相互推動。

  (2) 主要章節采用項目案例式設計,首先對所需要的基礎知識、擬采用硬件設備進行詳細介紹。根據設計要求,給齣具體設計方案,並詳細給齣相關軟件仿真。案例式設計,從實際應用齣發,有利於激發學習興趣,開拓讀者思路。

  (3) 本書的第一部分介紹Protel軟件應用。通過項目的實訓逐步掌握Protel軟件的使用,為後麵的案例章節做鋪墊,使得初學者容易入手,由淺入深地學習。本書第二部分是模電、數電的案例,從項目的設計要求入手,分析方案,對各個部分進行具體設計,最後用軟件仿真實現。本書第三部分是單片機案例設計,插入瞭大量的電路原理圖分析、器件的應用分析,對案件采用C語言進行編程,並加以詳細說明和注釋,使讀者較為容易地理解和掌握程序設計的思想。

  (4) 本書的部分案例選取自大學生電子設計競賽,對於初學電子設計的同學,建議循序漸進地進行閱讀。本書的各個案例是按由易到難的順序編排的,但各個項目相對獨立,相關老師可以根據實際教學情況和學時進行選取。

  本書由於天河、薛楠任主編,由盧迪教授任主審。第1~4章由薛楠編寫,第7章由李鵬飛編寫,第5、6章和第8~13章由於天河編寫。由於時間及水平有限,書中難免存在錯誤與不足之處,懇請專傢和廣大讀者批評指正。

  在本書編寫過程中得到瞭哈爾濱理工大學電氣與電子工程學院、哈爾濱理工大學教務處的大力支持,在此錶示感謝。在本書編寫時也參考瞭許多同行專傢的相關文獻,在此嚮這些文獻的作者深錶感謝。

  編者2016年9月


基於案例的電子係統設計與實踐 epub pdf mobi txt 電子書 下載 2024

基於案例的電子係統設計與實踐 下載 epub mobi pdf txt 電子書

基於案例的電子係統設計與實踐 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

基於案例的電子係統設計與實踐 mobi pdf epub txt 電子書 下載 2024

基於案例的電子係統設計與實踐 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

基於案例的電子係統設計與實踐 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

基於案例的電子係統設計與實踐 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有