ad holder

EDA技术与应用(第5版) epub pdf  mobi txt 电子书 下载

EDA技术与应用(第5版) epub pdf mobi txt 电子书 下载 2024

EDA技术与应用(第5版) epub pdf mobi txt 电子书 下载 2024


简体网页||繁体网页
江国强 著

下载链接在页面底部


点击这里下载
    


想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-28

商品介绍



出版社: 电子工业出版社
ISBN:9787121304224
版次:5
商品编码:12100404
包装:平装
丛书名: 电子信息科学与工程类专业规划教材
开本:16开
出版时间:2017-01-01
用纸:胶版纸
页数:308
字数:493000
正文语种:中文

EDA技术与应用(第5版) epub pdf mobi txt 电子书 下载 2024



类似图书 点击查看全场最低价

相关书籍





书籍描述

内容简介

EDA是当今世界上最先进的电子电路设计技术,它的重要作用逐步被我国的产业界、科技界和教育界认可。本书分为8章,包括EDA技术概述、EDA工具软件的使用方法、VHDL、Verilog HDL、常用EDA工具软件、可编程逻辑器件、EDA技术的应用以及EDA技术实验及综合设计。另外,附录部分介绍了友晶ED2 EDA实验开发系统的使用方法。

作者简介

江国强,桂林电子科技大学信息科技学院教授,从事数字逻辑电路、计算机原理和EDA技术教学,是广西区数字逻辑电路精品课程建设项目负责人。

目录

第1章 EDA技术概述
1.1 EDA技术及发展
1.2 EDA设计流程
1.2.1 设计准备
1.2.2 设计输入
1.2.3 设计处理
1.2.4 设计校验
1.2.5 器件编程
1.2.6 器件测试和设计验证
1.3 硬件描述语言
1.3.1 VHDL
1.3.2 Verilog HDL
1.3.3 AHDL
1.4 可编程逻辑器件
1.5 常用EDA工具
1.5.1 设计输入编辑器
1.5.2 仿真器
1.5.3 HDL综合器
1.5.4 适配器(布局布线器)
1.5.5 下载器(编程器)
本章小结
思考题和习题1
第2章 EDA工具软件的使用方法
2.1 Quartus II软件的主界面
2.2 Quartus II的图形编辑输入法
2.2.1 编辑输入图形设计文件
2.2.2 编译设计文件
2.2.3 仿真设计文件
2.2.4 编程下载设计文件
2.3 Quartus II宏功能模块的 使用方法
2.3.1 设计原理
2.3.2 编辑输入顶层设计文件
2.3.3 仿真顶层设计文件
2.3.4 图形文件的转换
2.4 嵌入式逻辑分析仪的使用方法
2.4.1 打开SignalTap II编辑窗口
2.4.2 调入节点信号
2.4.3 参数设置
2.4.4 文件存盘
2.4.5 编译与下载
2.4.6 运行分析
2.5 嵌入式锁相环的设计方法
2.5.1 嵌入式锁相环的设计
2.5.2 嵌入式锁相环的仿真
2.5.3 使用嵌入式逻辑分析仪观察嵌入式锁相环的设计结果
2.6 设计优化
2.6.1 面积与速度的优化
2.6.2 时序约束与选项设置
2.6.3 Fitter设置
2.7 Quartus II的RTL阅读器
本章小结
思考题和习题2
第3章 VHDL
3.1 VHDL设计实体的基本结构
3.1.1 库、程序包
3.1.2 实体
3.1.3 结构体
3.1.4 配置
3.1.5 基本逻辑器件的VHDL描述
3.2 VHDL语言要素
3.2.1 VHDL文字规则
3.2.2 VHDL数据对象
3.2.3 VHDL数据类型
3.2.4 VHDL的预定义数据类型
3.2.5 IEEE预定义的标准逻辑位和矢量
3.2.6 用户自定义数据类型方式
3.2.7 VHDL操作符
3.2.8 VHDL的属性
3.3 VHDL的顺序语句
3.3.1 赋值语句
3.3.2 流程控制语句
3.3.3 WAIT语句
3.3.4 ASSERT(断言)语句
3.3.5 NULL(空操作)语句
3.4 并行语句
3.4.1 PROCESS(进程)语句
3.4.2 块语句
3.4.3 并行信号赋值语句
3.4.4 子程序和并行过程调用语句
3.4.5 元件例化(COMPONENT)语句
3.4.6 生成语句
3.5 VHDL的库和程序包
3.5.1 VHDL库
3.5.2 VHDL程序包
3.6 VHDL设计流程
3.6.1 编辑VHDL源程序
3.6.2 设计8位计数显示译码电路顶层文件
3.6.3 编译顶层设计文件
3.6.4 仿真顶层设计文件
3.6.5 下载顶层设计文件
3.7 VHDL仿真
3.7.1 VHDL仿真支持语句
3.7.2 VHDL测试平台软件的设计
本章小结
思考题和习题3
第4章 Verilog HDL
4.1 Verilog HDL设计模块的基本结构
4.1.1 模块端口定义
4.1.2 模块内容
4.2 Verilog HDL的词法
4.2.1 空白符和注释
4.2.2 常数
4.2.3 字符串
4.2.4 关键词
4.2.5 标识符
4.2.6 操作符
4.2.7 Verilog HDL数据对象
4.3 Verilog HDL的语句
4.3.1 赋值语句
4.3.2 条件语句
4.3.3 循环语句
4.3.4 结构声明语句
4.3.5 语句的顺序执行与并行执行
4.4 不同抽象级别的Verilog HDL模型
4.4.1 Verilog HDL的门级描述
4.4.2 Verilog HDL的行为级描述
4.4.3 用结构描述实现电路系统设计
4.5 Verilog HDL设计流程
4.5.1 编辑Verilog HDL源程序
4.5.2 设计BCD加法器电路顶层文件
4.5.3 编译顶层设计文件
4.5.4 仿真顶层设计文件
4.5.5 下载顶层设计文件
4.6 Verilog HDL仿真
4.6.1 Verilog HDL仿真支持语句
4.6.2 Verilog HDL测试平台软件的设计
本章小结
思考题和习题4
第5章 常用EDA工具软件
5.1 ModelSim
5.1.1 ModelSim的图形用户交互方式
5.1.2 ModelSim的交互命令方式
5.1.3 ModelSim的批处理工作方式
5.1.4 ModelSim与Quartus II的接口
5.1.5 在Quartus II 13.0中使ModelSim仿真
5.2 基于MATLAB/DSP Builder 的DSP模块设计
5.2.1 设计原理
5.2.2 建立MATLAB设计模型
5.2.3 MATLAB模型仿真
5.2.4 Signal Compiler使用方法
5.2.5 使用ModelSim仿真
5.2.6 DSP Builder的层次设计
5.3 Qsys系统集成软件
5.3.1 Qsys的硬件开发
5.3.2 Qsys系统的编译与下载
5.4 Nios II嵌入式系统开发软件
5.4.1 Nios II的硬件开发
5.4.2 生成Nios II硬件系统
5.4.3 Nios II系统的调试
5.4.4 Nios II的常用组件与编程
5.4.5 基于Nios II的Qsys系统应用
本章小结
思考题和习题5
第6章 可编程逻辑器件
6.1 PLD的基本原理
6.1.1 PLD的分类
6.1.2 阵列型PLD
6.1.3 现场可编程门阵列FPGA
6.1.4 基于查找表(LUT)的结构
6.2 PLD的设计技术
6.2.1 PLD的设计方法
6.2.2 在系统可编程技术
6.2.3 边界扫描技术
6.3 PLD的编程与配置
6.3.1 CPLD的ISP方式编程
6.3.2 使用PC的并口配置FPGA
6.4 Altera公司的PLD系列产品简介
6.4.1 Altera高端Stratix FPGA系列
6.4.2 Altera中端FPGA的Arria系列
6.4.3 Altera低成本FPGA的Cyclone系列
6.4.4 Altera SoC FPGA系列
6.4.5 Altera低成本MAX系列
6.4.6 Altera硬件拷贝HardCopy ASIC系列
本章小结
思考题和习题6
第7章 EDA技术的应用
7.1 组合逻辑电路设计应用
7.1.1 运算电路设计
7.1.2 编码器设计
7.1.3 译码器设计
7.1.4 数据选择器设计
7.1.5 数据比较器设计
7.1.6 ROM的设计
7.2 时序逻辑电路设计应用
7.2.1 触发器设计
7.2.2 锁存器设计
7.2.3 移位寄存器设计
7.2.4 计数器设计
7.2.5 随机读写存储器RAM的设计
7.3 基于EDA的数字系统设计
7.3.1 计时器的设计
7.3.2 万年历的设计
7.3.3 8位十进制频率计设计
本章小结
思考题和习题7
附录A Altera DE2开发板使用方法 278
A.1 Altera DE2开发板的结构
A.2 DE2开发板的实验模式与目标芯片的引脚连接
A.3 DE2开发板实验的操作
A.3.1 编辑
A.3.2 编译
A.3.3 仿真
A.3.4 引脚锁定
A.3.5 编程下载
A.3.6 硬件验证
A.4 DE2开发板的控制嵌板
A.4.1 打开控制嵌板
A.4.2 设备检测
附录B Quartus II的宏函数和强函数
B.1 宏函数
B.2 强函数
参考文献

前言/序言

  第5版前言
  在20世纪90年代,国际上电子和计算机技术先进的国家,一直在积极探索新的电子电路设计方法,在设计方法、工具等方面进行了彻底的变革,并取得巨大成功。在电子设计技术领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到很好的普及,这些器件为数字系统的设计带来了极大的灵活性。可编程逻辑器件可以通过软件编程而对其硬件结构和工作方式进行重构,这使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。
  EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来。EDA技术是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言(HDL)完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。
  本书是在《EDA技术与应用》(第4版)基础上编写的,跟随EDA技术的发展,本书将各种最新版本的EDA工具软件的使用方法展示给读者,包括Quartus II 13.0及Qsys、ModelSim-Altera 10.1d、MATLAB R2012a等。
  本书共7章。第1章EDA技术概述,介绍EDA技术的发展、EDA设计流程及EDA技术涉及的领域。
  第2章介绍EDA工具软件的使用方法。EDA的核心是利用计算机完成电路设计的全程自动化,因此基于计算机环境下的EDA工具软件是不可缺少的。利用EDA技术进行电路设计的大部分工作是在EDA开发设计平台上进行的,离开了EDA工具,电路设计的自动化是不可能实现的。因此,掌握EDA工具软件的使用方法,应该是EDA技术学习的第一步。至今Altera公司已公布了Quartus II 16.0版本的EDA并发设计软件,本章以Altera公司的Quartus II 13.0为主介绍EDA工具软件的使用方法。
  第3章和第4章分别介绍VHDL和Verilog HDL两种常用硬件描述语言的基础知识。VHDL和Verilog HDL作为IEEE标准的硬件描述语言,经过30多年的发展、应用和完善,它们以其强大的系统描述能力、规范的程序设计结构、灵活的语言表达风格和多层次的仿真测试手段,在电子设计领域受到了普遍的认同和广泛的接受,成为现代EDA领域的首选硬件描述语言。专家认为,在本世纪VHDL与Verilog HDL语言将承担起几乎全部的数字系统设计任务。
  第5章介绍几种目前世界上最流行和实用的EDA工具软件,包括ModelSim、MATLAB、Nios II和Qsys,以适应不同读者的需要。这些软件主要是基于PC机平台,面向PLD、SOPC和ASIC设计,比较适合学校教学、项目开发和相关的科研。
  第6章介绍PLA、PAL、GAL、EPLD和FPGA等各种类型可编程逻辑器件的电路结构、工作原理、使用方法、编程方法和Altera公司可编程逻辑器件。
  第7章介绍EDA技术在组合逻辑、时序逻辑电路设计,以及基于EDA技术的数字系统设计中的应用。
  为了方便读者能较系统和较完整地学习EDA技术,本书从教学的目的出发,尽量将有关EDA技术的内容编入书中,并力求内容精练,语言通俗易懂。读者可以根据实际需要,节选学习书中的部分内容,尽快掌握EDA基本技术,然后通过相关EDA技术书籍的学习,达到精通EDA技术的目的。
  本书的教学可安排32学时,其中第1章占2学时,第2章占4学时,第3章占8学时,第4章占8学时,第5章作为选学内容(需6~8学时),第6章占2学时,第7章占8学时。另外,还需要安排4~8学时的实验,第1个实验安排EDA工具软件的使用方法,其余的实验可安排HDL的编程实验。
  本书提供配套的电子课件,可登录华信教育资源网,注册后免费下载。
  本书由桂林电子科技大学的江国强和覃琴编著,对于书中的错误和不足之处,恳请读者指正。



EDA技术与应用(第5版) epub pdf mobi txt 电子书 下载 2024

EDA技术与应用(第5版) 下载 epub mobi pdf txt 电子书 2024

EDA技术与应用(第5版) pdf 下载 mobi 下载 pub 下载 txt 电子书 下载 2024

EDA技术与应用(第5版) mobi pdf epub txt 电子书 下载 2024

EDA技术与应用(第5版) epub pdf mobi txt 电子书 下载
想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

读者评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

EDA技术与应用(第5版) epub pdf mobi txt 电子书 下载 2024

类似图书 点击查看全场最低价

EDA技术与应用(第5版) epub pdf mobi txt 电子书 下载 2024


分享链接









相关书籍


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.tinynews.org All Rights Reserved. 静思书屋 版权所有