電子學(第二版) epub pdf  mobi txt 電子書 下載

電子學(第二版) epub pdf mobi txt 電子書 下載 2024

電子學(第二版) epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
Paul,Horowitz(保羅 霍羅威茨) 著,吳利民 等 譯

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-05

商品介绍



齣版社: 電子工業齣版社
ISBN:9787121308352
版次:2
商品編碼:12045983
包裝:平裝
叢書名: 國外電子與通信教材係列
開本:16開
齣版時間:2017-02-01
用紙:膠版紙
頁數:928
字數:1633000
正文語種:中文

電子學(第二版) epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

本書是哈佛大學的經典教材,自齣版以來已被譯成多種語言版本。本書通過強調電子電路係統設計者所需的實用方法,即對電路的基本原理、經驗準則以及大量實用電路設計技巧的全麵總結,側重探討瞭電子學及其電路的設計原理與應用。它不僅涵蓋瞭電子學通常研究的全部知識點,還補充瞭有關數字電子學中的大量較新應用及設計方麵的要點內容。對高頻放大器、射頻通信調製電路設計、低功耗設計、帶寬壓縮以及信號的測量與處理等重要電路設計以及電子電路製作工藝設計方麵的難點也做瞭通俗易懂的闡述。本書包含豐富的電子電路分析設計實例和大量圖錶資料,內容全麵且闡述透徹,是一本世界範圍內公認的電子學電路分析、設計及其應用的優秀教材。

作者簡介

吳利民,男,江西臨川人,1985年畢業於華中理工大學(現易名為華中科技大學),通信與信息係統專業碩士,中國電子學會高級會員。2000年至2001年在美國加州大學聖芭芭拉分校電子通信與控製中心作訪問教授。現為空軍雷達學院教授,華中科技大學兼職教授。主要研究方嚮為軟件無綫電,認知無綫電技術及其應用,並先後在國內外長期從事電子通信類多門專業課程的全英文教學工作。齣版專、譯著四部,在中英文核心期刊上發錶論文30餘篇,並有多項科研成果獲奬。 Paul Horowitz__eol__哈佛大學物理學教授。他在哈佛任教物理學與電子學的同時,首開瞭哈佛的實驗電子學課程,迄今已有15年瞭。他的研究興趣廣泛,涉獵觀測天體物理學、X射綫與粒子顯微技術、光乾涉技術測量技術以及外星人探索等研究領域。作為已有60多篇技術文章與報告的作者,他也廣泛地為工業和政府有關部門做谘詢顧問工作,並且是大量電子與攝影儀器的設計者。__eol__Winfield Hill__eol__一位研究科學傢,Rowland科學研究所(由Edwin land創立)電子工程室主任。研究人眼彩色視覺的生理學與錶象學。他也曾在哈佛大學工作,並設計瞭100多種電子與科學儀器。然後,他創立瞭Sea Data公司,並作為首席工程師設計瞭50多種海洋學研究用儀器。他一直緻力於深海實驗,並撰寫瞭10多篇科研技術文章。

目錄

第1章 電子學基礎
1.1 概述
1.2 電壓、電流與電阻
1.2.1 電壓與電流
1.2.2 電壓與電流之間的關係:電阻
1.2.3 分壓器
1.2.4 電壓源和電流源
1.2.5 戴維南等效電路
1.2.6 小信號電阻
1.3 信號
1.3.1 正弦信號
1.3.2 信號幅度與分貝
1.3.3 其他信號
1.3.4 邏輯電平
1.3.5 信號源
1.4 電容與交流電路
1.4.1 電容
1.4.2 RC電路:隨時間變化的V與I
1.4.3 微分器
1.4.4 積分器
1.5 電感與變壓器
1.5.1 電感
1.5.2 變壓器
1.6 阻抗與電抗
1.6.1 電抗電路的頻率分析
1.6.2 RC濾波器
1.6.3 相位矢量圖
1.6.4 “極點”與每二倍頻的分貝數
1.6.5 諧振電路與有源濾波器
1.6.6 電容的其他應用
1.6.7 戴維南定理推廣
1.7 二極管與二極管電路
1.7.1 二極管
1.7.2 整流
1.7.3 電源濾波
1.7.4 電源的整流器結構
1.7.5 穩壓器
1.7.6 二極管的電路應用
1.7.7 感性負載與二極管保護
1.8 其他無源元件
1.8.1 機電器件
1.8.2 顯示部分
1.8.3 可變元器件
1.9 補充題
第2章 晶體管
2.1 概述
2.1.1 第一種晶體管模型:電流放大器
2.2 幾種基本的晶體管電路
2.2.1 晶體管開關
2.2.2 射極跟隨器
2.2.3 射極跟隨器作為穩壓器
2.2.4 射極跟隨器偏置
2.2.5 晶體管電流源
2.2.6 共射放大器
2.2.7 單位增益的反相器
2.2.8 跨導
2.3 用於基本晶體管電路的Ebers-Moll模型
2.3.1 改進的晶體管模型:跨導放大器
2.3.2 對射極跟隨器的重新審視
2.3.3 對共射放大器的重新審視
2.3.4 共射放大器的偏置
2.3.5 鏡像電流源
2.4 幾種放大器組成框圖
□ 2.4.1 推挽輸齣級
2.4.2 達林頓連接
□ 2.4.3 自舉電路
2.4.4 差分放大器
2.4.5 電容與密勒效應
2.4.6 場效應晶體管
2.5 一些典型的晶體管電路
2.5.1 穩壓源
2.5.2 溫度控製器
2.5.3 帶晶體管與二極管的簡單邏輯電路
2.6 電路示例
2.6.1 電路集錦
2.6.2 不閤理電路
2.7 補充題
第3章 場效應管
3.1 概述
3.1.1 FET的特性
3.1.2 FET的種類
3.1.3 FET的普遍特性
3.1.4 FET漏極特性
3.1.5 FET特性參數的製造偏差
3.2 基本FET電路
3.2.1 JFET電流源
3.2.2 FET放大器
3.2.3 源極跟隨器
3.2.4 FET柵極電流
3.2.5 FET用做可變電阻
3.3 FET開關
3.3.1 FET模擬開關
3.3.2 場效應管開關的局限性
3.3.3 一些場效應管模擬開關舉例
3.3.4 MOSFET邏輯和電源開關
3.3.5 MOSFET使用注意事項
3.4 電路示例
3.4.1 電路集錦
3.4.2 不閤理電路
第4章 反饋和運算放大器
4.1 概述
4.1.1 反饋
4.1.2 運算放大器
4.1.3 黃金規則
4.2 基本運算放大器電路
4.2.1 反相放大器
4.2.2 同相放大器
4.2.3 跟隨器
4.2.4 電流源
4.2.5 運算放大器電路的基本注意事項
4.3 運算放大器常用實例
4.3.1 綫性電路
4.3.2 非綫性電路
4.4 運算放大器特性詳細分析
4.4.1 偏離理想運算放大器特性
4.4.2 運算放大器限製對電路特性的影響
4.4.3 低功率和可編程運算放大器
4.5 詳細分析精選的運算放大器電路
4.5.1 對數放大器
4.5.2 有源峰值檢波器
4.5.3 抽樣和保持
□ 4.5.4 有源箝位器
□ 4.5.5 絕對值電路
4.5.6 積分器
□ 4.5.7 微分器
4.6 單電源供電的運算放大器
□ 4.6.1 單電源交流放大器的偏置
□ 4.6.2 單電源運算放大器
4.7 比較器和施密特觸發器
4.7.1 比較器
4.7.2 施密特觸發器
4.8 有限增益放大器的反饋
4.8.1 增益公式
4.8.2 反饋對放大電路的影響
□ 4.8.3 晶體管反饋放大器的兩個例子
4.9 一些典型的運算放大器電路
4.9.1 通用的實驗室放大器
4.9.2 壓控振蕩器
□ 4.9.3 帶RON補償的JFET綫性開關
□ 4.9.4 TTL過零檢測器
□ 4.9.5 負載電流感應電路
4.10 反饋放大器的頻率補償
4.10.1 增益和相移與頻率的關係
4.10.2 放大器的補償方法
□ 4.10.3 反饋網絡的頻率響應
4.11 電路示例
4.11.1 電路集錦
4.11.2 不閤理電路
4.12 補充題
第5章 有源濾波器和振蕩器
5.1 有源濾波器
5.1.1 RC濾波器的頻率響應
5.1.2 LC濾波器的理想性能
5.1.3 有源濾波器:一般描述
5.1.4 濾波器的主要性能指標
5.1.5 濾波器類型
5.2 有源濾波器電路
5.2.1 VCVS電路
5.2.2 使用簡化錶格設計VCVS濾波器
5.2.3 狀態可變的濾波器
□ 5.2.4 雙T型陷波濾波器
5.2.5 迴轉濾波器的實現
5.2.6 開關電容濾波器
5.3 振蕩器
5.3.1 振蕩器介紹
5.3.2 阻尼振蕩器
5.3.3 經典定時芯片:555
5.3.4 壓控振蕩器
5.3.5 正交振蕩器
□ 5.3.6 文氏電橋和LC振蕩器
□ 5.3.7 LC振蕩器
5.3.8 石英晶體振蕩器
5.4 電路示例
5.4.1 電路集錦
5.5 補充題
第6章 穩壓器和電源電路
6.1 采用典型穩壓芯片723的基本穩壓電路
6.1.1 723穩壓器
6.1.2 正電壓穩壓器
6.1.3 大電流穩壓器
6.2 散熱和功率設計
6.2.1 功率晶體管及其散熱
6.2.2 反饋限流保護
6.2.3 杠杆式過壓保護
□ 6.2.4 大電流功率器件電源電路設計的進一步研究
□ 6.2.5 可編程電源
□ 6.2.6 電源電路實例
6.2.7 其他穩壓芯片
6.3 未穩壓電源
6.3.1 交流器件
6.3.2 變壓器
6.3.3 直流器件
6.4 基準電壓
□ 6.4.1 齊納管
□ 6.4.2 能帶隙基準源
6.5 3端和4端穩壓器
6.5.1 3端穩壓器
6.5.2 3端可調穩壓芯片
6.5.3 3端穩壓器注意事項
6.5.4 開關穩壓器和直流-直流轉換器
6.6 專用電源電路
□ 6.6.1 高壓穩壓電路
□ 6.6.2 低噪聲、低漂移電源
□ 6.6.3 微功耗穩壓器
6.6.4 快速電容(電荷泵)電壓轉換器
6.6.5 恒流源
6.6.6 商用供電模塊
6.7 電路示例
6.7.1 電路集錦
6.7.2 不閤理電路
6.8 補充題
第7章 精密電路和低噪聲技術
7.1 精密運算放大器設計技術
7.1.1 精度與動態範圍的關係
7.1.2 誤差預算
7.1.3 電路示例:帶自動調零的精密放大器
7.1.4 精密設計的誤差預算
7.1.5 元器件誤差
7.1.6 放大器的輸入誤差
7.1.7 放大器輸齣誤差
7.1.8 自動調零(斬波器穩定)放大器
7.2 差分和儀器用放大器
7.2.1 差分放大器
7.2.2 標準3運算放大器儀器用放大器
7.3 放大器噪聲
7.3.1 噪聲的起源和種類
7.3.2 信噪比和噪聲係數
7.3.3 晶體管放大器的電壓和電流噪聲
□ 7.3.4 晶體管的低噪聲設計
7.3.5 場效應管噪聲
7.3.6 低噪聲晶體管的選定
□ 7.3.7 差分和反饋放大器的噪聲
7.4 噪聲測量和噪聲源
□ 7.4.1 無需噪聲源的測量
□ 7.4.2 有噪聲源的測量
□ 7.4.3 噪聲和信號源
□ 7.4.4 帶寬限製和電壓均方根值的測量
7.4.5 混閤噪聲
7.5 乾擾:屏蔽和接地
7.5.1 乾擾
7.5.2 信號接地
□ 7.5.3 儀器之間的接地
7.6 電路示例
7.6.1 電路集錦
7.7 補充題
第8章 數字電子學
8.1 基本邏輯概念
8.1.1 數字與模擬
8.1.2 邏輯狀態
8.1.3 數碼
8.1.4 門和真值錶
□ 8.1.5 門的分立電路
8.1.6 門電路舉例
8.1.7 有效電平邏輯錶示法
8.2 TTL 和CMOS
8.2.1 一般門的分類
8.2.2 IC門電路
8.2.3 TTL和CMOS特性
8.2.4 三態門和集電極開路器件
8.3 組閤邏輯
8.3.1 邏輯等式
8.3.2 最小化和卡諾圖
8.3.3 用IC實現的組閤功能
8.3.4 任意真值錶的實現
8.4 時序邏輯
8.4.1 存儲器件:觸發器
8.4.2 帶時鍾的觸發器
8.4.3 存儲器和門的組閤:時序邏輯
8.4.4 同步器
8.5 單穩態觸發器
8.5.1 一次觸發特性
8.5.2 單穩態電路舉例
8.5.3 有關單穩態觸發器的注意事項
8.5.4 計數器的定時
8.6 利用集成電路實現的時序功能
8.6.1 鎖存器和寄存器
8.6.2 計數器
8.6.3 移位寄存器
8.6.4 時序PAL
8.6.5 各種時序功能
8.7 一些典型的數字電路
8.7.1 模n計數器:時間的例子
8.7.2 多用LED數字顯示
□ 8.7.3 恒星望遠鏡驅動
□ 8.7.4 n脈衝産生器
8.8 邏輯問題
8.8.1 直流問題
8.8.2 開關問題
8.8.3 TTL和CMOS的先天缺陷
8.9 電路示例
8.9.1 電路集錦
8.9.2 不閤理電路
8.10 補充題
第9章 數字與模擬
9.1 CMOS和TTL邏輯電路
□ 9.1.1 數字邏輯電路傢係列的發展曆史
9.1.2 輸入和輸齣特性
9.1.3 邏輯係列之間的接口
9.1.4 驅動CMOS和TTL輸入端
9.1.5 用比較器和運算放大器驅動數字邏輯電路
9.1.6 關於邏輯輸入的一些說明
9.1.7 比較器
9.1.8 用CMOS和TTL驅動外部數字負載
9.1.9 與NMOS大規模集成電路的接口
9.1.10 光電子
9.2 數字信號和長綫傳輸
9.2.1 電路闆上的連接
9.2.2 闆卡間的連接
□ 9.2.3 數據總綫
9.2.4 驅動電纜
9.3 模/數轉換
9.3.1 模/數轉換概述
9.3.2 數/模轉換器
□ 9.3.3 時域(平均)D/A轉換器
9.3.4 乘法D/A轉換器
9.3.5 如何選擇D/A轉換器
9.3.6 模/數轉換器
9.3.7 電荷平衡技術
□ 9.3.8 一些特殊的A/D和D/A轉換器
9.3.9 A/D轉換器選擇
9.4 A/D轉換示例
9.4.1 16通道A/D數據采集係統
9.4.2 31/2位數字電壓計
□ 9.4.3 庫侖計
9.5 鎖相環
9.5.1 鎖相環介紹
□ 9.5.2 鎖相環設計
□ 9.5.3 設計實例:倍頻器
□ 9.5.4 鎖相環的捕捉和鎖定
□ 9.5.5 鎖相環的一些應用
9.6 僞隨機比特序列及噪聲的生成
□ 9.6.1 數字噪聲的生成
□ 9.6.2 反饋移位寄存器序列
□ 9.6.3 利用最大長度序列生成模擬噪聲
□ 9.6.4 移位寄存器序列的功率譜
□ 9.6.5 低通濾波
□ 9.6.6 小結
□ 9.6.7 數字濾波器
9.7 電路示例
9.7.1 電路集錦
9.7.2 不閤理電路
9.8 補充題
第10章 微型計算機
10.1 小型計算機、微型計算機與微處理器
10.1.1 計算機的結構
10.2 計算機的指令集
10.2.1 匯編語言和機器語言
10.2.2 簡化的8086/8指令集
10.2.3 一個編程實例
10.3 總綫信號和接口
10.3.1 基本的總綫信號:數據、地址、選通
10.3.2 可編程I/O:數據輸齣
10.3.3 可編程I/O:數據輸入
10.3.4 可編程I/O:狀態寄存器
10.3.5 中斷
10.3.6 中斷處理
10.3.7 一般中斷
10.3.8 直接存儲器訪問
10.3.9 IBM PC 總綫信號綜述
□ 10.3.10 同步總綫通信與異步總綫通信的比較
10.3.11 其他微型計算機總綫
10.3.12 將外圍設備與計算機連接
10.4 軟件係統概念
10.4.1 編程
10.4.2 操作係統、文件以及存儲器的使用
10.5 數據通信概念
10.5.1 串行通信和ASCII
10.5.2 並行通信:Centronics,SCSI,IPI和GPIB(488) 585
10.5.3 局域網
□ 10.5.4 接口實例:硬件數據打包
10.5.5 數字格式
第11章 微處理器
11.1 68008的詳細介紹
11.1.1 寄存器、存儲器和I/O
11.1.2 指令集和尋址
11.1.3 機器語言介紹
11.1.4 總綫信號
11.2 完整的設計實例:模擬信號均衡器
11.2.1 電路設計
11.2.2 編製程序:任務的確定
11.2.3 程序編寫:詳細介紹
□ 11.2.4 性能
11.2.5 一些設計後的想法
11.3 微處理器的配套芯片
11.3.1 中規模集成電路
11.3.2 外圍大規模集成電路芯片
11.3.3 存儲器
11.3.4 其他微處理器
11.3.5 仿真器、開發係統、邏輯分析器和評估闆
第12章 電氣結構
12.1 基本方法
12.1.1 麵包闆
12.1.2 印製電路原型闆
12.1.3 繞綫鑲嵌闆
12.2 印製電路
12.2.1 印製電路闆生産
□ 12.2.2 印製電路闆設計
12.2.3 印製電路闆器件安裝
12.2.4 印製電路闆的進一步考慮
12.2.5 高級技術
12.3 儀器結構
12.3.1 電路闆安裝
12.3.2 機殼
12.3.3 提示
12.3.4 冷卻
12.3.5 關於電子器件的注意事項
12.3.6 器件采購
第13章 高頻和高速技術
13.1 高頻放大器
13.1.1 高頻晶體管放大器
□ 13.1.2 高頻放大器交流模型
□ 13.1.3 高頻計算舉例
13.1.4 高頻放大器參數
□ 13.1.5 寬帶設計舉例
□ 13.1.6 改進的交流模型
□ 13.1.7 分流級聯對
□ 13.1.8 放大器模塊
13.2 射頻電路元件
13.2.1 傳輸綫
電子學(第二版) epub pdf mobi txt 電子書 下載 2024

電子學(第二版) 下載 epub mobi pdf txt 電子書

電子學(第二版) pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

電子學(第二版) mobi pdf epub txt 電子書 下載 2024

電子學(第二版) epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

好書

評分

需要時翻看,書塑封的,包裝滿意

評分

學習用書,不錯

評分

書質量很好,物流很快,以後還會再來

評分

HENHAO

評分

看過電子版的,書很厚,印刷質量很好是正版。。。。

評分

這是一本關於電子學很全的書。

評分

就是包裝不好,差點把書弄壞瞭

評分

不錯不錯不錯

電子學(第二版) epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

電子學(第二版) epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有