ad holder

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf  mobi txt 电子书 下载

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf mobi txt 电子书 下载 2024

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf mobi txt 电子书 下载 2024


简体网页||繁体网页
[美] Jan M.Rabaey(简 M.拉贝艾) 等 著,周润德 等 译

下载链接在页面底部


点击这里下载
    


想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-19

商品介绍



出版社: 电子工业出版社
ISBN:9787121305054
版次:2
商品编码:12040303
包装:平装
丛书名: 国外电子与通信教材系列
外文名称:Digital Integrated Circuits: A Design Perspective,
开本:16开
出版时间:2017-01-01
用纸:胶版纸
页数:524

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf mobi txt 电子书 下载 2024



类似图书 点击查看全场最低价

相关书籍





书籍描述

内容简介

  本书由美国加州大学伯克利分校Jan M. Rabaey教授等人所著。全书共12章,分为三部分: 基本单元、电路设计和系统设计。本书在对MOS器件和连线的特性做了简要的介绍之后,深入分析了数字设计的核心――反相器,并逐步将这些知识延伸到组合逻辑电路、时序逻辑电路、控制器、运算电路以及存储器这些复杂数字电路与系统的设计中。为了反映数字集成电路设计进入深亚微米领域后正在发生的深刻变化,本书以CMOS工艺的实际电路为例,讨论了深亚微米器件效应、电路*优化、互连线建模和优化、信号完整性、时序分析、时钟分配、高性能和低功耗设计、设计验证、芯片测试和可测性设计等主题,着重探讨了深亚微米数字集成电路设计所面临的挑战和启示。

作者简介

  Jan M. Rabaey教授,为美国加州大学伯克利分校电气工程教授,Anantha Chandrakasan为麻省理工学院教授,本书是其多年教学经验的总结。

目录

第一部分 基 本 单 元
第1章 引论
1.1 历史回顾
1.2 数字集成电路设计中的问题
1.3 数字设计的质量评价
1.3.1 集成电路的成本
1.3.2 功能性和稳定性
1.3.3 性能
1.3.4 功耗和能耗
1.4 小结
1.5 进一步探讨
期刊和会议论文集
参考书目
参考文献
习题
第2章 制造工艺
2.1 引言
2.2 CMOS集成电路的制造
2.2.1 硅圆片
2.2.3 一些重复进行的工艺步骤
2.2.4 简化的CMOS工艺流程
2.3 设计规则――设计者和工艺工程师之间的桥梁
2.4 集成电路封装
2.4.1 封装材料
2.4.2 互连层
2.4.3 封装中的热学问题
2.5 综述: 工艺技术的发展趋势
2.5.1 近期进展
2.5.2 远期展望
2.6 小结
2.7 进一步探讨
参考文献
设计方法插入说明A――IC版图
参考文献
第3章 器件
3.1 引言
3.2 二极管
3.2.1 二极管简介――耗尽区
3.2.2 静态特性
3.2.3 动态或瞬态特性
3.2.4 实际的二极管――二次效应
3.2.5 二极管SPICE模型
3.3 MOS(FET)晶体管
3.3.1 MOS晶体管简介
3.3.2 静态情况下的MOS晶体管
3.3.3 实际的MOS晶体管――一些二阶效应
3.3.4 MOS管的SPICE模型
3.4 关于工艺偏差
3.5 综述: 工艺尺寸缩小
3.6 小结
3.7 进一步探讨
参考文献
习题
设计方法插入说明B――电路模拟
进一步探讨
参考文献
第4章 导线
4.1 引言
4.2 简介
4.3 互连参数――电容、电阻和电感
4.3.1 电容
4.3.2 电阻
4.3.3 电感
4.4 导线模型
4.4.1 理想导线
4.4.2 集总模型(Lumped Model)
4.4.3 集总RC模型
4.4.4 分布rc线
4.4.5 传输线
4.5 导线的SPICE模型
4.5.1 分布rc线的SPICE模型
4.5.2 传输线的SPICE模型
4.5.3 综述: 展望未来
4.6 小结
4.7 进一步探讨
参考文献
第二部分 电 路 设 计
第5章 CMOS反相器
5.1 引言
5.2 静态CMOS反相器――直观综述
5.3 CMOS反相器稳定性的评估――静态特性
5.3.1 开关阈值
5.3.2 噪声容限
5.3.3 再谈稳定性
5.4 CMOS反相器的性能: 动态特性
5.4.1 计算电容值
5.4.2 传播延时: 一阶分析
5.4.3 从设计角度考虑传播延时
5.5 功耗、能量和能量延时
5.5.1 动态功耗
5.5.2 静态功耗
5.5.3 综合考虑
5.5.4 利用SPICE分析功耗
5.6 综述: 工艺尺寸缩小及其对反相器衡量指标的影响
5.7 小结
5.8 进一步探讨
参考文献
习题
第6章 CMOS组合逻辑门的设计
6.1 引言
6.2 静态CMOS设计
6.2.1 互补CMOS
6.2.2 有比逻辑
6.2.3 传输管逻辑
6.3 动态CMOS设计
6.3.1 动态逻辑: 基本原理
6.3.2 动态逻辑的速度和功耗
6.3.3 动态设计中的信号完整性问题
6.3.4 串联动态门
6.4 设计综述
6.4.1 如何选择逻辑类型
6.4.2 低电源电压的逻辑设计
6.5 小结
6.6 进一步探讨
参考文献
习题
设计方法插入说明C――如何模拟复杂的逻辑电路
参考文献
设计方法插入说明D――复合门的版图技术
进一步探讨
第7章 时序逻辑电路设计
7.1 引言
7.1.1 时序电路的时间参数
7.1.2 存储单元的分类
7.2 静态锁存器和寄存器
7.2.1 双稳态原理
7.2.2 多路开关型锁存器
7.2.3 主从边沿触发寄存器
7.2.4 低电压静态锁存器
7.2.5 静态SR触发器――用强信号直接写数据
7.3 动态锁存器和寄存器
7.3.1 动态传输门边沿触发寄存器
7.3.2 C2MOS――一种对时钟偏差不敏感的方法
7.3.3 真单相钟控寄存器(TSPCR)
7.4 其他寄存器类型*
7.4.1 脉冲寄存器
7.4.2 灵敏放大器型寄存器
7.5 流水线: 优化时序电路的一种方法
7.5.1 锁存型流水线与寄存型流水线
7.5.2 NORA?CMOS――流水线结构的一种逻辑形式
7.6 非双稳时序电路
7.6.1 施密特触发器
7.6.2 单稳时序电路
7.6.3 不稳电路
7.7 综述: 时钟策略的选择
7.8 小结
7.9 进一步探讨
参考文献
第三部分 系 统 设 计
第8章 数字集成电路的实现策略
8.1 引言
8.2 从定制到半定制以及结构化阵列的设计方法
8.3 定制电路设计
8.4 以单元为基础的设计方法
8.4.1 标准单元
8.4.2 编译单元
8.4.3 宏单元、巨单元和专利模块
8.4.4 半定制设计流程
8.5 以阵列为基础的实现方法
8.5.1 预扩散(或掩模编程)阵列
8.5.2 预布线阵列
8.6 综述: 未来的实现平台
8.7 小结
8.8 进一步探讨
参考文献
习题
设计方法插入说明E――逻辑单元和时序单元的特性描述
参考文献
设计方法插入说明F――设计综合
进一步探讨
参考文献
第9章 互连问题
9.1 引言
9.2 电容寄生效应
9.2.1 电容和可靠性――串扰
9.2.2 电容和CMOS电路性能
9.3 电阻寄生效应
9.3.1 电阻与可靠性――欧姆电压降
9.3.2 电迁移
9.3.3 电阻和性能――RC延时
9.4 电感寄生效应*
9.4.1 电感和可靠性――Ldidt电压降
9.4.2 电感和性能――传输线效应
9.5 高级互连技术
9.5.1 降摆幅电路
9.5.2 电流型传输技术
9.6 综述: 片上网络
9.7 小结
9.8 进一步探讨
参考文献
习题
第10章 数字电路中的时序问题
10.1 引言
10.2 数字系统的时序分类
10.2.1 同步互连
10.2.2 中等同步互连
10.2.3 近似同步互连
10.2.4 异步互连
10.3 同步设计――一个深入的考察
10.3.1 同步时序原理
10.3.2 偏差和抖动的来源
10.3.3 时钟分布技术
10.3.4 锁存式时钟控制*
10.4 自定时电路设计*
10.4.1 自定时逻辑――一种异步技术
10.4.2 完成信号的产生
10.4.3 自定时的信号发送
10.4.4 自定时逻辑的实例
10.5 同步器和判断器*
10.5.1 同步器――概念与实现
10.5.2 判断器
10.6 采用锁相环进行时钟综合和同步*
10.6.1 基本概念
10.6.2 PLL的组成功能块
10.7 综述: 未来方向和展望
10.7.1 采用延时锁定环(DLL)分布时钟
10.7.2 光时钟分布
10.7.3 同步与非同步设计
10.8 小结
10.9 进一步探讨
参考文献
习题
设计方法插入说明G――设计验证
参考文献
第11章 设计运算功能块
11.1 引言
11.2 数字处理器结构中的数据通路
11.3 加法器
11.3.1 二进制加法器: 定义
11.3.2 全加器: 电路设计考虑
11.3.3 二进制加法器: 逻辑设计考虑
11.4 乘法器
11.4.1 乘法器: 定义
11.4.2 部分积的产生
11.4.3 部分积的累加
11.4.4 最终相加
11.4.5 乘法器小结
11.5 移位器
11.5.1 桶形移位器
11.5.2 对数移位器
11.6 其他运算器
11.7 数据通路结构中对功耗和速度的综合考虑*
11.7.1 在设计时间可采用的降低功耗技术
11.7.2 运行时间的功耗管理
11.7.3 降低待机(或休眠)模式中的功耗
11.8 综述: 设计中的综合考虑
11.9 小结
11.10 进一步探讨
参考文献
习题
第12章 存储器和阵列结构设计
12.1 引言
12.1.1 存储器分类
12.1.2 存储器总体结构和单元模块
12.2 存储器内核
12.2.1 只读存储器
12.2.2 非易失性读写存储器
12.2.3 读写存储器(RAM)
12.2.4 按内容寻址或相联存储器(CAM)
12.3 存储器外围电路*
12.3.1 地址译码器
12.3.2 灵敏放大器
12.3.3 参考电压
12.3.4 驱动器/缓冲器
12.3.5 时序和控制
12.4 存储器的可靠性及成品率*
12.4.1 信噪比
12.4.2 存储器成品率
12.5 存储器中的功耗*
12.5.1 存储器中功耗的来源
12.5.2 存储器的分割
12.5.3 降低工作功耗
12.5.4 降低数据维持功耗
12.5.5 小结
12.6 存储器设计的实例研究
12.6.1 可编程逻辑阵列
12.6.2 4 Mb SRAM
12.6.3 1 Gb NAND Flash存储器
12.7 综述: 半导体存储器的发展趋势与进展
12.8 小结
12.9 进一步探讨
参考文献
习题
设计方法插入说明H――制造电路的验证和测试
H.3.1 可测性设计中的问题
H.3.2 专门测试
H.3.3 扫描测试
H.3.4 边界扫描设计
H.3.5 内建自测试
H.4.1 故障模型
H.4.2 测试图形的自动生成
H.4.3 故障模拟
参考文献
思考题答案

前言/序言

  本书特色
  欢迎使用本书。在本书第一版出版后的6年中,数字集成电路领域已有了某些惊人的进展和变化。IC制造工艺继续缩小到空前小的尺寸。自写作这本书的第一版以来,最小特征尺寸缩小到接近1/10,现在已接近100 nm的范围。这种尺寸的缩小对数字集成电路的设计产生了两方面的影响。首先,在单片上能设计的复杂性大大提高,为了应对这一挑战,产生了一些新的设计方法和实现策略。与此同时,在尺寸小到深亚微米范围后器件的行为特性发生了变化,从而把一系列影响数字IC的可靠性、成本、性能以及功耗的新问题提到了面前。对这些问题的深入讨论是本书第二版与第一版之间的区别所在。
  看一下目录就可以知道本版扩大了内容范围,包括深亚微米器件、电路优化、互连模型和优化、信号完整性、时钟和时序以及功耗。所有这些内容都用目前最新的设计例子来说明。同时,鉴于MOS现已占有数字IC领域99%的市场份额,我们删去了像硅双极型和砷化镓这样较陈旧的内容,不过对此有兴趣的读者仍可通过本书配套网站找到有关这些技术的内容(首次使用的读者需先申请密码)。为了强调现今设计过程中方法学的重要性,我们贯穿全书增加了“设计方法插入说明”,每一插入部分着重说明设计过程中特有的一些问题。新版对原书做了重要修订,最大的变化是增加了两个合著者——Anantha和Borivoje,他们为本书带来了有关数字IC设计方面更宽阔的见地,以及有关此领域的最新趋势和挑战。
  保留了第一版的基本精神
  在进行这些修改的同时,我们一直力图保留第一版的基本精神和编写目的——在数字设计中建立起电路设计和系统设计之间的桥梁。我们从彻底弄清电子器件的操作并深入分析数字设计的核心(反相器)开始,逐步将这些知识引向设计比较复杂的模块,如逻辑门、寄存器、控制器、加法器、乘法器以及存储器。我们认识到当今复杂电路设计者共同面临的感兴趣的问题是: 起决定作用的设计参数是什么?设计的哪些部分需要着重考虑而哪些细节又可以忽略?显然,简化是处理日益复杂的数字系统的唯一途径,但是过度简化由于忽略了像时序、互连以及功耗这样一些影响整个电路的效应,又可能导致电路不能工作。为了避免这一点,在进行数字电路设计时一定要同时注意电路和系统两方面的问题。这就是本书所采用的方法,通过分析技术和实验技术为读者带来处理复杂问题所需要的知识和技能。
  阅读指南
  本书的核心部分是为大学高年级数字电路设计课程编写的。围绕这一核心,还纳入了一些涵盖更前沿专题的章节。在编写本书的过程中,我们发现很难确定应当包括数字电路设计领域的哪些部分才能满足所有人的需要。一方面,刚刚进入该领域的人希望有关于基本概念的详尽内容; 另一方面,来自原有读者和评阅人的反馈意见又表明希望并需要在深度和广度上增加高层次的前沿专题和当前所提出的问题。提供这样一个全面的讨论造成了这本教材的内容大大超出一学期课程的需要,因此其中较为高深的部分可作为研究生课程的基础。由于本书涉及面广泛且包含最新的前沿内容,也使它成为对专业工程师非常有用的参考书。这里我们假定上这门课的学生对基本的逻辑设计已相当熟悉。
  本书在内容的安排上使各章节可以按许多不同的方式来讲授和阅读,只需遵守一些前后顺序关系即可。本书的核心部分由第5章~第8章构成。第1章~第4章可以看成导论。为了满足一般要求,在第2章中引入了有关半导体制造方面的简短论述。曾经学过半导体器件的学生可以很快地浏览一下第3章。我们十分希望每个人至少都这样做一遍,因为一些重要符号和基础知识都在该章中介绍。此外该章还介绍了一种能用来进行手工分析的深亚微米晶体管最原始的建模方法。为了强调互连在当今数字设计中的重要性,我们将互连建模部分提前到本书的第4章。
  第9章~第12章的内容较深,可作为某些课程的重点。例如,侧重电路方面的课程可增加第9章和第12章的核心材料,侧重数字系统设计的课程则应考虑增加第9章、第10章和第11章的(部分)内容。所有这些内容较深的章节都可以作为研究生课程或后续课程的核心。内容较深的章节在书中都标注有*号。
  对于本科高年级的课程,下面列出了几种可能的教学安排顺序。本书配套网站所提供的教师文档(instructor documentation)中还列出了某些大学相关课程采用的完整教学大纲中列出的章节号。
  电路基础课程(针对器件方面知识较少的学生):
  1,2.1~2.3,3,4,5,6,7,8,(9.1~9.3,12)。
  稍高级一些的电路课程:
  1,(2,3),4,5,6,7,8,9,10.1~10.3,10.5~10.6,12。
  系统方向的课程:
  1,(2,3),4,5,6,7,8,9,10.1~10.4,11,12.1~12.2。
  “设计方法插入说明”部分可与它们所在章同时选用。
  为了保持全书风格一致,各章首先介绍本章主题,接着对概念进行详细深入的讨论。综述一节讨论本章介绍的概念与实际设计之间的关系,以及它们如何会受到未来发展的影响。每一章以小结作为结束,它简要列举了教材中讲过的各个主题。小结后面的进一步探讨。


数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf mobi txt 电子书 下载 2024

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] 下载 epub mobi pdf txt 电子书 2024

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] pdf 下载 mobi 下载 pub 下载 txt 电子书 下载 2024

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] mobi pdf epub txt 电子书 下载 2024

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf mobi txt 电子书 下载
想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

读者评价

评分

学习电路板最佳书籍,京东物流也很快

评分

此用户未填写评价内容

评分

2017年1月印刷,基本上算是新书。书脊处有胶残留,但无伤大雅。

评分

666666666666666666666666666666

评分

细细学习,深刻理解。

评分

盗版

评分

好好好好好好好好好好啊

评分

可以

评分

好好好好好好好好好好

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf mobi txt 电子书 下载 2024

类似图书 点击查看全场最低价

数字集成电路 电路、系统与设计(第二版) [Digital Integrated Circuits: A Design Perspective,] epub pdf mobi txt 电子书 下载 2024


分享链接









相关书籍


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.tinynews.org All Rights Reserved. 静思书屋 版权所有