電子設計與實踐(第2版) epub pdf  mobi txt 電子書 下載

電子設計與實踐(第2版) epub pdf mobi txt 電子書 下載 2024

電子設計與實踐(第2版) epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
劉霞 編著 著,劉霞 編著 編

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-17

商品介绍



齣版社: 電子工業齣版社
ISBN:9787121274060
版次:1
商品編碼:11805277
包裝:平裝
叢書名: 通信與導航係列規劃教材
開本:16開
齣版時間:2015-10-01
用紙:膠版紙
頁數:280
字數:448000
正文語種:中文

電子設計與實踐(第2版) epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

本書是依據高等工科院校電子技術實踐教學大綱的基本要求,結閤作者多年的科研與教學經驗編寫而成的。全書以電子係統設計為主綫,詳細講解瞭元器件選擇、信號産生電路、多功能數字鍾、數字式頻率計、調幅接收機、傳感器應用等設計原理與裝調方法,係統講解瞭電路仿真與PCB設計,單片機技術和可編程器件的開發及應用。目的在於提高讀者的工程設計能力和實際操作能力,為後續專業課程的學習打下良好的基礎。

作者簡介

劉霞:副教授,研究方嚮為信號與係統,從事教學、科研工作27年。主講課程有“數字電路邏輯設計”“電子設計與實踐”“電子綫路EDA”“電子技術基礎”等,編寫教材6部;承擔過多項軍隊和地方科研項目,獲得多項軍隊科研和教學成果奬;指導學生參加“全國大學生電子設計競賽”“全軍大學生物理創新設計競賽”“TI杯電賽陝西工科五校校際聯賽”等,獲得一、二、三等奬多項;獲得國傢實用新型發明專利奬;在EI、核心期刊等發錶、交流學術論文40餘篇。

目錄

目 錄
第1章 電子設計與裝調基本方法 (1)
1.1 電子設計與裝調的主要內容與要求 (1)
1.2 電子電路設計的基本方法 (1)
1.2.1 電子電路設計的一般流程 (1)
1.2.2 設計任務的提齣 (1)
1.2.3 總體方案設計 (3)
1.2.4 硬件單元電路的設計與選擇 (4)
1.2.5 硬件電路中元器件參數計算與選擇 (6)
1.2.6 電路仿真及實驗 (7)
1.2.7 軟件設計與調試 (8)
1.2.8 繪製總電路圖 (8)
1.2.9 結構設計 (9)
1.2.10 設計文件 (9)
1.3 電子電路組裝與調試 (9)
1.3.1 組裝與調試流程 (9)
1.3.2 元器件的預處理 (9)
1.3.3 電路闆布局 (10)
1.3.4 電路的焊接 (10)
1.3.5 電路調試準備 (11)
1.3.6 電路靜態調試 (11)
1.3.7 電路動態調試 (11)
1.4 乾擾與抑製技術 (11)
1.4.1 乾擾的産生及傳播 (12)
1.4.2 乾擾的抑製 (12)
1.5 故障與診斷 (14)
1.5.1 電子電路故障産生的原因 (14)
1.5.2 電子電路故障診斷與排除 (15)
1.6 電子設計報告 (17)
1.6.1 電子設計報告的要求 (17)
1.6.2 電子設計報告的格式 (17)
第2章 電子設計與裝調技術基礎 (18)
2.1 電子元器件的選擇 (18)
2.1.1 電阻器 (18)
2.1.2 電容器 (23)
2.1.3 電感器 (26)
2.1.4 開關及接插元件 (27)
2.1.5 半導體分立器件 (28)
2.1.6 集成電路 (30)
2.1.7 傳感器 (32)
2.1.8 繼電器 (33)
2.1.9 錶麵貼裝元件 (34)
2.2 裝配與焊接 (37)
2.2.1 裝配工具 (37)
2.2.2 焊接材料 (38)
2.2.3 焊接工藝和方法 (39)
2.3 印製電路闆的設計與製作 (45)
2.3.1 印製電路闆的結構布局設計 (45)
2.3.2 印製電路闆上的元器件布綫原則 (47)
2.3.3 印製導綫和焊盤 (48)
2.3.4 印製電路闆設計 (50)
2.3.5 印製電路闆的製作 (50)
2.3.6 印製電路闆的檢驗 (53)
第3章 Multisim 11電路仿真 (55)
3.1 Multisim 11概述 (55)
3.2 Multisim 11用戶界麵 (56)
3.2.1 主窗口界麵 (56)
3.2.2 菜單欄 (57)
3.2.3 標準工具欄 (60)
3.2.4 元件工具欄 (60)
3.2.5 虛擬儀錶欄 (63)
3.2.6 設計工作盒 (64)
3.2.7 活動電路標簽 (64)
3.2.8 電路仿真工作區 (64)
3.2.9 電子錶格視窗 (64)
3.3 Multisim 11的基本操作 (65)
3.3.1 仿真電路界麵的設置 (65)
3.3.2 元器件的操作 (68)
3.3.3 導綫的連接 (71)
3.3.4 添加文本 (73)
3.3.5 添加儀錶 (75)
3.4 Multisim11基本仿真分析 (75)
3.4.1 基本分析方法 (75)
3.4.2 共發射極負反饋放大電路仿真與分析 (76)
第4章 電路設計與實踐 (83)
4.1 單級晶體管放大電路 (83)
4.1.1 設計任務與要求 (83)
4.1.2 電路基本原理 (84)
4.1.3 設計指導 (84)
4.1.4 實驗與調試 (85)
4.2 差分放大電路 (86)
4.2.1 設計任務與要求 (86)
4.2.2 電路基本原理與設計指導 (87)
4.2.3 實驗與調試 (88)
4.3 積分運算電路 (89)
4.3.1 設計任務與要求 (89)
4.3.2 電路基本原理 (89)
4.3.3 設計過程指導 (89)
4.3.4 實驗與調試 (91)
4.4 有源濾波器設計 (91)
4.4.1 設計任務與要求 (92)
4.4.2 電路原理與設計指導 (92)
4.4.3 實驗與調試 (95)
4.5 直流穩壓電源 (95)
4.5.1 設計任務和要求 (96)
4.5.2 工作原理及技術指標要求 (96)
4.5.3 設計過程指導 (96)
4.5.4 實驗與調試 (99)
4.5.5 任務知識拓展 (100)
4.6 信號産生電路 (101)
4.6.1 設計任務和要求 (101)
4.6.2 電路基本原理 (101)
4.6.3 設計過程指導 (102)
4.6.4 實驗與調試 (106)
4.7 多功能數字鍾 (107)
4.7.1 設計任務與要求 (107)
4.7.2 電路原理 (107)
4.7.3 調試要點 (110)
4.8 多路智力競賽搶答器 (111)
4.8.1 設計任務與要求 (111)
4.8.2 電路原理與設計指導 (111)
4.8.3 調試要點 (115)
4.9 數字頻率計 (116)
4.9.1 設計任務與要求 (116)
4.9.2 電路原理與設計指導 (116)
4.9.3 調試要點 (118)
4.9.4 專用八位通用頻率計數器ICM7216 (118)
4.10 調幅接收機 (121)
4.10.1 設計任務與要求 (123)
4.10.2 電路原理與設計指導 (123)
4.10.3 調試要點 (128)
4.10.4 六管中波調幅收音機的裝配與調試 (129)
4.11 傳感器及其應用電路 (134)
4.11.1 溫度傳感器及其應用 (135)
4.11.2 速度傳感器及其應用 (137)
4.11.3 金屬傳感器 (139)
4.11.4 超聲波傳感器 (139)
4.12 電機功率驅動電路 (142)
4.12.1 直流電機驅動接口電路 (142)
4.12.2 步進電機及其驅動電路 (146)
第5章 單片機技術基礎及應用 (149)
5.1 單片機微處理器概述 (149)
5.1.1 單片機的組成 (149)
5.1.2 單片機的特點 (149)
5.1.3 單片機的發展 (150)
5.1.4 單片機的應用 (150)
5.1.5 常用單片機的類型 (151)
5.2 MCS-51單片機的硬件結構 (152)
5.2.1 MCS-51單片機的硬件組成 (152)
5.2.2 存儲器配置 (155)
5.2.3 CPU時序及時鍾電路 (158)
5.2.4 復位電路 (159)
5.2.5 地址譯碼 (160)
5.3 MCS-51單片機指令集 (163)
5.4 單片機應用係統的設計與開發 (166)
5.4.1 單片機應用係統設計 (167)
5.4.2 單片機軟硬件開發係統 (169)
5.5 單片機應用與實踐 (170)
5.5.1 MCS-51最小應用係統 (170)
5.5.2 輸入/輸齣端口的應用 (172)
5.5.3 計數器 (174)
5.5.4 定時器 (175)
5.5.5 外部中斷 (177)
5.5.6 鍵盤顯示器的應用:電子號碼鎖 (178)
5.5.7 簡易數字電壓錶的設計 (182)
第6章 基於可編程邏輯器件的數字係統設計 (191)
6.1 可編程邏輯器件的基本原理 (191)
6.1.1 可編程邏輯器件概述 (191)
6.1.2 可編程邏輯器件基本結構 (191)
6.1.3 Altera公司的ACEX1K30器件 (193)
6.2 基於可編程器件的數字係統設計 (197)
6.2.1 數字係統設計方法 (198)
6.2.2 數字係統設計方式 (199)
6.3 可編程邏輯器件開發軟件及應用 (200)
6.3.1 QuartusⅡ概述 (200)
6.3.2 原理圖輸入設計法 (201)
6.3.3 VHDL設計 (208)
6.4 VHDL基礎 (209)
6.4.1 VHDL概述 (209)
6.4.2 VHDL語言的基本結構 (210)
6.4.3 VHDL語言元素 (211)
6.4.4 VHDL基本描述語句 (212)
6.5 數字係統開發實例 (213)
6.5.1 基本電路設計 (213)
6.5.2 數字秒錶設計 (216)
第7章 Protel 2004電路設計 (226)
7.1 Protel 2004的基礎知識 (226)
7.1.1 Protel 概述 (226)
7.1.2 Protel 2004的係統組成 (226)
7.1.3 Protel 2004常用的編輯器 (227)
7.1.4 Protel 2004的基本界麵 (228)
7.2 用Protel 2004繪製電路原理圖 (233)
7.2.1 進入原理圖編輯器 (233)
7.2.2 設置原理圖編輯器的參數 (235)
7.2.3 繪製電路原理圖 (237)
7.2.4 繪製原理圖符號 (242)
7.2.5 建立層次式原理圖 (243)
7.3 原理圖的後處理 (245)
7.3.1 原理圖的編譯 (245)
7.3.2 生成各種報錶 (247)
7.4 PCB的基本知識 (248)
7.4.1 印製電路闆的分類 (248)
7.4.2 PCB的元件封裝 (248)
7.4.3 銅膜導綫 (249)
7.4.4 焊盤(Pad)與過孔(Via) (249)
7.4.5 層 (249)
7.4.6 絲印層 (250)
7.4.7 設計PCB的流程 (250)
7.5 用Protel 2004設計印製電路闆 (250)
7.5.1 準備原理圖和SPICE netlist (250)
7.5.2 進入PCB編輯器 (251)
7.5.3 設置PCB編輯器的參數 (252)
7.5.4 繪製PCB圖 (254)
7.5.5 PCB的加工 (261)
參考文獻 (266)

前言/序言

《通信與導航係列規劃教材》總序

  互聯網和全球衛星導航係統被稱為是二十世紀人類的兩個最偉大發明,這兩大發明的交互作用與應用構成瞭這套叢書齣版的時代背景。近年來,移動互聯網、雲計算、大數據、物聯網、機器人不斷豐富著這個時代背景,呈現齣繽紛多彩的人類數字化生活。例如,基於位置的服務集成衛星定位、通信、地理信息、慣性導航、信息服務等技術,把恰當的信息在恰當的時刻、以恰當的粒度(信息詳細程度)和恰當的媒體形態(文字、圖形、語音、視頻等)、送到恰當的地點、送給恰當的人。這樣一來通信和導航就成為通用技術基礎,更加凸顯瞭這套叢書齣版的意義。

  由空軍工程大學信息與導航學院組織編寫的14部專業教材,涉及導航、密碼學、通信、天綫與電波傳播、頻譜管理、通信工程設計、數據鏈、增強現實原理與應用等,有些教材在教學中已經廣泛采用,曆經數次修訂完善,更趨成熟;還有一些教材匯集瞭學院近年來的科研成果,有較強的針對性,內容新穎。這套叢書既適閤各類專業技術人員進行專題學習,也可作為高校教材或參考用書。希望叢書的齣版,有助於國內相關領域學科發展,為信息技術人纔培養做齣貢獻。

   

  中國工程院院士: 李德毅

  

第2版前言

  本書是一本理論性和實踐性都很強的課程設計教材,是在2009年編寫的《電子設計與實踐》基礎上修訂再版的。近年來,隨著電子技術的不斷發展,電子設計及其應用技術都有瞭很大進步,實踐教學改革也有瞭新的進展,這些都要求我們對第1版進行修訂後再版。

  在這次修訂過程中,以“保持特色,體現先進,突齣應用,引導創新”為指導思想,依據現代電子信息領域對電氣與電子信息類專業本科人纔能力的要求,以培養本科人纔的電路和係統設計及應用能力為目標,進一步充實瞭更加詳實的設計實例。第2版與第1版相比,在保證原教材定位及特色基礎上,主要在以下幾個方麵做瞭調整和修改:

  (1)對教材的章節順序進行瞭調整。在章節的安排上,緊緊圍繞電子係統的設計、應用、裝配和調試為主綫,將第1章與第2章的順序進行瞭調整,使讀者能夠在首先掌握電子設計與裝調基本方法基礎上,進行後續的電路設計與實踐;另外,將第7章的內容提前到瞭第3章,充分應用現代EDA仿真技術完善電路設計。全書內容按照“電子設計與裝調基本方法→電子設計與裝調技術基礎→Multisim11電路仿真→電路設計與實踐→單片機技術基礎及應用→基於可編程邏輯器件的數字係統設計→Protel2004電路設計”的體係結構編寫,即便於教學,又使讀者經曆現代電子産品開發的全過程;以電子係統設計方法為基礎,引入新器件、新方法、新工具,引入EDA、單片機及可編程技術基礎,融入應用工具軟件,教輔相結閤;具有內容先進、適應教學、實踐性強、啓發創新等特色,這樣的調整使全書整體結構更加統一閤理。

  (2)充實瞭電子係統設計實例。在第4章電路設計與實踐中,對本章節裏的一些電子係統設計實例,如多功能數字鍾、信號産生電路等,在電路設計、分析、調試和總電路圖等方麵,進一步充實瞭更加詳實的內容;另外,增加瞭“多路智力競賽搶答器、數字式頻率計和調幅接收機”三個電子係統設計實例,詳細闡述瞭電路設計與要求、電路基本原理、設計過程指導和實驗與調試,加強瞭指導性內容。

  (3)在保證第1版教材特色的基礎上,緊跟電子信息技術動態,突齣實用性、應用性和先進性,對第3章的EDA仿真軟件進行瞭更新。選用瞭仿真功能更加強大的Multimu11,采用軟件介紹、虛擬仿真、真實電路和虛實對比的編寫思路,更好地將EDA技術與電路設計有機結閤。

  本書第2版由劉霞主持編寫,孟濤、魏青梅等參考編寫,具體分工如下:劉霞編寫第3、5、7章,孟濤編寫第4章,魏青梅編寫第2章和本書的課件,劉霞和侯傳教共同編寫第1章,侯傳教、劉霞和李雲共同編寫第6章。全書由劉霞負責統稿。

  本書得到瞭空軍工程大學信息與導航學院教務辦、教保辦和信息偵察教研室的關懷和大力支持;侯傳教副教授和任曉燕講師在第1版的編寫中做瞭大量的工作,對第2版的編寫工作也給予瞭熱情支持;空軍大連通信士官學校校長王忠江教授、陝西科技大學電氣與信息工程學院張震強高級工程師對本書第1版進行瞭審閱,並對本書的編寫工作給予瞭大力支持。在本書齣版之際,謹嚮他們緻以最誠摯的謝意。同時,也感謝電子工業齣版社領導和相關編輯對本書編寫、齣版的支持與幫助。

  在本書的編寫過程中,參考瞭大量的國內外著作和資料,並引用瞭其中的一些資料,難以一一列舉,在此嚮有關作者錶示衷心的感謝。

  感謝讀者多年來對本書的關心、支持與厚愛。本書的編寫一定還存在不少缺點和不足,懇請讀者批評指正。


第1版前言

  本書是一本理論性和實踐性都很強的課程設計教材。“電子設計與實踐”是在學生掌握電子技術基礎課程的電路基本理論和實驗基礎上開設的一門綜閤性、設計性課程,其目的在於將理論與實際有機地聯係起來,鞏固所學的理論知識,加強學生實踐基本技能的綜閤訓練。本書從提高學生動手操作能力和工程設計能力的角度齣發,使學生經曆現代電子産品開發的全過程,為後續專業課程的學習打下良好的基礎。

  全書共分7章。第1章介紹電子元器件的選擇,裝配工具及焊接工藝,以及印製電路闆的設計與製作。第2章介紹電子電路設計的基本方法,電子電路組裝與調試,乾擾與抑製技術,電路故障與診斷,常見技術指標與測試,“電子設計”報告及電子設計所需參考資料的選取。第3章介紹單級晶體管放大電路,差分放大電路,積分運算電路,有源濾波器設計,直流穩壓電源,信號産生電路,多功能數字鍾,傳感器及其應用電路,電機功率驅動電路的設計與實踐。第4章介紹MCS-51係列單片機的結構和指令,單片機應用係統的設計與軟硬件開發係統,單片機設計及應用實例,如MCS-51最小應用係統、計數器、定時器,以及簡易數字電壓錶的設計等。第5章介紹基於可編程邏輯器件的數字係統設計。第6章介紹Protel 2004電路設計與PCB設計的基礎知識。第7章介紹Multisim 9的基本操作以及Multisim 9的電路仿真分析。

  本書以“保證基礎,體現先進,聯係實際,引導創新”為指導思想,緊緊圍繞實際電路的設計和應用為主綫,以傳統電子設計方法為基礎,引入新器件、新方法、新工具,引入單片機及可編程技術基礎,引入EDA技術,融入應用工具軟件,教輔相結閤;具有內容先進、適應教學、實踐性強、啓發創新等特色;既是高校電工、電子類專業本、專科學生課程設計的必備教材,也可供從事電子設計工作的工程技術人員參考。

  本書由劉霞擬訂編寫大綱和目錄,具體編寫分工如下:劉霞編寫第4章、第6章和第7章,侯傳教編寫第2章和第5章,孟濤編寫第3章,劉霞、楊智敏和侯傳教共同編寫第1章,任曉燕、魏青梅參與部分章節的編寫工作。全書由劉霞統稿。

  空軍工程大學電訊工程學院王忠江副教授、陝西科技大學電氣與信息工程學院張震強高級工程師對本書進行瞭審閱,提齣瞭很多寶貴意見,並對本書的編寫工作給予瞭大力支持,在此錶示衷心的感謝。

  在本書的編寫過程中,參考瞭大量的國內外著作和資料,並引用瞭其中的一些資料,難以一一列舉,在此嚮有關作者錶示衷心的感謝。

  由於我們水平有限,錯誤和不足在所難免,敬請讀者批評指正。



電子設計與實踐(第2版) epub pdf mobi txt 電子書 下載 2024

電子設計與實踐(第2版) 下載 epub mobi pdf txt 電子書

電子設計與實踐(第2版) pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

電子設計與實踐(第2版) mobi pdf epub txt 電子書 下載 2024

電子設計與實踐(第2版) epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

電子設計與實踐(第2版) epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

電子設計與實踐(第2版) epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有