9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf  mobi txt 電子書 下載

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf mobi txt 電子書 下載 2024

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
包明,曹陽 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-21

商品介绍



店鋪: 聚雅圖書專營店
齣版社: 北京大學齣版社
ISBN:9787301238776
商品編碼:29543709764
包裝:平裝
齣版時間:2014-02-01

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

基本信息

書名:EDA技術及數字係統的應用

定價:55.00元

作者:包明,曹陽

齣版社:北京大學齣版社

齣版日期:2014-02-01

ISBN:9787301238776

字數:

頁碼:

版次:1

裝幀:平裝

開本:16開

商品重量:0.4kg

編輯推薦


本書可作為電子、通信、計算機、自動化等專業的教材,也可供相關技術人員參考使用。

內容提要


“EDA技術”課程受益麵很寬,又是一門發展迅速、工程性強、須緊密結閤技術發展前沿的現代電子設計技術課程。
  本書作者給齣瞭FPGA的一些設計技巧和實用設計方法,以及FPGA綜閤設計實例。通過本書的學習,可以使學生能夠掌握常用的EDA開發軟件,及時將學科的*成果引入教學中,將HDL硬件描述語言設計方法和FPGA的開發技術及符閤工程規範的係統設計技術有機地融閤在一起,強調理論和實際的聯係,培養學生的創新能力和實驗動手能力。本書可作為電子、通信、計算機、自動化等專業的教材,也可供相關技術人員參考使用。

目錄


章 概述
 1.1 EDA技術
 1.1.1 EDA技術的發展史
 1.1.2 EDA與電子係統設計
 1.1.3 EDA軟件平颱
 1.2 EDA技術的基本特徵及工具
 1.2.1 EDA技術的研究範疇
 1.2.2 EDA技術的基本特徵
 1.2.3 EDA的基本工具
 1.3 硬件描述語言簡介
 1.4 可編程ASIC及發展趨勢
 1.4.1 專用集成電路ASIC簡介
 1.4.2 集成電路的設計流程
 1.4.3 可編程ASIC的特點
 1.4.4 可編程ASIC發展趨勢
 1.5 IP核與SOC設計
 1.5.1 IP核
 1.5.2 IP核的復用技術
 1.5.3 SOC設計技術
 1.5.4 軟/硬件協同設計
 1.6 EDA技術的發展趨勢
 本章小結
 習題
 
第2章 可編程邏輯器件
 2.1 可編程邏輯器件的分類
 2.2 可編程邏輯器件的編程元件
 2.2.1 熔絲型開關
 2.2.2 反熔絲型開關
 2.2.3 浮柵編程元件
 2.2.4 基於SRAM的編程元件
 2.3 邊界掃描測試技術
 2.4 CPLD/FPGA的基本結構及特點
 2.4.1 CPLD的基本結構與特點
 2.4.2 FPGA的基本結構與特點
 2.4.3 CPLD與FPGA的比較
 2.5 CPLD/FPGA主流器件介紹
 2.5.1 Altera的CPLD係列
 2.5.2 Xilinx的CPLD係列
 2.5.3 Altera的FPGA係列
 2.5.4 Xilinx的FPGA係列
 2.6 編程與配置
 2.6.1 JTAG方式的CPLD編程
 2.6.2 PC並行口的FPGA配置
 2.6.3 FPGA專用配置器件
 本章小結
 習題
 
第3章 CPLD/FPGA開發工具——QuartusⅡ
 3.1 QuartusⅡ概述
 3.1.1 QuartusⅡ的特性
 3.1.2 QuartusⅡ設計流程
 3.1.3 QuartusⅡ的主界麵
 3.2 新建一個設計工程
 3.2.1 轉換MAX PLUSII設計
 3.2.2 使用“New Project Wizard命令新建工程
 3.2.3 設計輸入
 3.3 編譯與仿真工具
 3.3.1 編譯工具
 3.3.2 仿真工具
 3.3.3 時序分析工具
 3.4 編程下載
 3.4.1 指定器件和分配引腳
 3.4.2 配置器件
 3.5 設計優化及其他設置
 3.5.1 麵積與速度的優化
 3.5.2 時序約束及設置
 3.5.3 適配設置
 3.5.4 功率分析
 3.6 器件庫和參數化宏功能模塊
 3.6.1 元件庫和宏單元庫
 3.6.2 自定製宏功能模塊
 3.6.3 嵌入式存儲器和鎖相環模塊
 3.6.4 濾波器FIR
 3.7 嵌入式邏輯分析儀的硬件測試
 3.8 嵌入式係統設計
 3.8.1 用SOPC Builder創建SOPC設計
 3.8.2 用DSP Builder創建DSP設計
 本章小結
 習題
 
第4章 硬件描述語言AHDL
 4.1 AHDL的基本元素
 4.2 基本的AHDL設計結構
 4.2.1 子設計段
 4.2.2 邏輯段
 4.2.3 變量段
 4.2.4 AHDL模闆
 4.3 函數模塊及其引用
 4.4 AHDL的描述語句
 4.4.1 文本編輯語句
 4.4.2 邏輯設計語句
 4.5 數字單元電路的設計實例
 4.5.1 組閤邏輯電路
 4.5.2 寄存器和計數器
 4.5.3 有限狀態機設計
 4.5.4 綜閤邏輯電路
 本章小結
 習題
 
第5章 硬件描述語言VHDL
 5.1 VHDL基本結構
 5.1.1 多路選擇器的VHDL描述
 5.1.2 實體
 5.1.3 結構體
 5.1.4 庫、程序包及配置
 5.2 VHDL語法規則
 5.2.1 文字規則
 5.2.2 數據對象
 5.2.3 數據類型
 5.2.4 VHDL操作符
 5.2.5 VHDL屬性描述
 5.3 VHDL中的順序語句
 5.3.1 賦值語句
 5.3.2 流程控製語句
 5.3.3 WAIT語句
 5.3.4 斷言語句
 5.4 VHDL中的並行語句
 5.4.1 進程語句
 5.4.2 並行信號賦值語句
 5.4.3 塊語句
 5.4.4 元件例化語句
 5.4.5 生成語句
 5.5 子程序
 5.5.1 函數
 5.5.2 過程
 5.5.3 子程序重載
 5.6 狀態機的VHDL設計
 5.6.1 狀態機的基本結構
 5.6.2 狀態轉移圖
 5.6.3 狀態機的VHDL描述
 5.6.4 狀態機的圖形編輯設計
 5.6.5 狀態編碼與狀態分配
 5.6.6 剩餘狀態與容錯技術
 5.7 數字電路設計
 5.7.1 VHDL的描述風格
 ……
第6章 硬件描述語言Verilog HDL
第7章 數字係統設計及實例
第8章 FPGA綜閤設計實踐

作者介紹


文摘


序言



9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf mobi txt 電子書 下載 2024

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 下載 epub mobi pdf txt 電子書

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 mobi pdf epub txt 電子書 下載 2024

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

9787301238776 EDA技術及數字係統的應用 北京大學齣版社 包明,曹陽 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有