ad holder

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf  mobi txt 电子书 下载

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf mobi txt 电子书 下载 2024

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf mobi txt 电子书 下载 2024


简体网页||繁体网页
包明,曹阳 著

下载链接在页面底部


点击这里下载
    


想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-30

商品介绍



店铺: 聚雅图书专营店
出版社: 北京大学出版社
ISBN:9787301238776
商品编码:29543709764
包装:平装
出版时间:2014-02-01

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf mobi txt 电子书 下载 2024



类似图书 点击查看全场最低价

相关书籍





书籍描述

基本信息

书名:EDA技术及数字系统的应用

定价:55.00元

作者:包明,曹阳

出版社:北京大学出版社

出版日期:2014-02-01

ISBN:9787301238776

字数:

页码:

版次:1

装帧:平装

开本:16开

商品重量:0.4kg

编辑推荐


本书可作为电子、通信、计算机、自动化等专业的教材,也可供相关技术人员参考使用。

内容提要


“EDA技术”课程受益面很宽,又是一门发展迅速、工程性强、须紧密结合技术发展前沿的现代电子设计技术课程。
  本书作者给出了FPGA的一些设计技巧和实用设计方法,以及FPGA综合设计实例。通过本书的学习,可以使学生能够掌握常用的EDA开发软件,及时将学科的*成果引入教学中,将HDL硬件描述语言设计方法和FPGA的开发技术及符合工程规范的系统设计技术有机地融合在一起,强调理论和实际的联系,培养学生的创新能力和实验动手能力。本书可作为电子、通信、计算机、自动化等专业的教材,也可供相关技术人员参考使用。

目录


章 概述
 1.1 EDA技术
 1.1.1 EDA技术的发展史
 1.1.2 EDA与电子系统设计
 1.1.3 EDA软件平台
 1.2 EDA技术的基本特征及工具
 1.2.1 EDA技术的研究范畴
 1.2.2 EDA技术的基本特征
 1.2.3 EDA的基本工具
 1.3 硬件描述语言简介
 1.4 可编程ASIC及发展趋势
 1.4.1 专用集成电路ASIC简介
 1.4.2 集成电路的设计流程
 1.4.3 可编程ASIC的特点
 1.4.4 可编程ASIC发展趋势
 1.5 IP核与SOC设计
 1.5.1 IP核
 1.5.2 IP核的复用技术
 1.5.3 SOC设计技术
 1.5.4 软/硬件协同设计
 1.6 EDA技术的发展趋势
 本章小结
 习题
 
第2章 可编程逻辑器件
 2.1 可编程逻辑器件的分类
 2.2 可编程逻辑器件的编程元件
 2.2.1 熔丝型开关
 2.2.2 反熔丝型开关
 2.2.3 浮栅编程元件
 2.2.4 基于SRAM的编程元件
 2.3 边界扫描测试技术
 2.4 CPLD/FPGA的基本结构及特点
 2.4.1 CPLD的基本结构与特点
 2.4.2 FPGA的基本结构与特点
 2.4.3 CPLD与FPGA的比较
 2.5 CPLD/FPGA主流器件介绍
 2.5.1 Altera的CPLD系列
 2.5.2 Xilinx的CPLD系列
 2.5.3 Altera的FPGA系列
 2.5.4 Xilinx的FPGA系列
 2.6 编程与配置
 2.6.1 JTAG方式的CPLD编程
 2.6.2 PC并行口的FPGA配置
 2.6.3 FPGA专用配置器件
 本章小结
 习题
 
第3章 CPLD/FPGA开发工具——QuartusⅡ
 3.1 QuartusⅡ概述
 3.1.1 QuartusⅡ的特性
 3.1.2 QuartusⅡ设计流程
 3.1.3 QuartusⅡ的主界面
 3.2 新建一个设计工程
 3.2.1 转换MAX PLUSII设计
 3.2.2 使用“New Project Wizard命令新建工程
 3.2.3 设计输入
 3.3 编译与仿真工具
 3.3.1 编译工具
 3.3.2 仿真工具
 3.3.3 时序分析工具
 3.4 编程下载
 3.4.1 指定器件和分配引脚
 3.4.2 配置器件
 3.5 设计优化及其他设置
 3.5.1 面积与速度的优化
 3.5.2 时序约束及设置
 3.5.3 适配设置
 3.5.4 功率分析
 3.6 器件库和参数化宏功能模块
 3.6.1 元件库和宏单元库
 3.6.2 自定制宏功能模块
 3.6.3 嵌入式存储器和锁相环模块
 3.6.4 滤波器FIR
 3.7 嵌入式逻辑分析仪的硬件测试
 3.8 嵌入式系统设计
 3.8.1 用SOPC Builder创建SOPC设计
 3.8.2 用DSP Builder创建DSP设计
 本章小结
 习题
 
第4章 硬件描述语言AHDL
 4.1 AHDL的基本元素
 4.2 基本的AHDL设计结构
 4.2.1 子设计段
 4.2.2 逻辑段
 4.2.3 变量段
 4.2.4 AHDL模板
 4.3 函数模块及其引用
 4.4 AHDL的描述语句
 4.4.1 文本编辑语句
 4.4.2 逻辑设计语句
 4.5 数字单元电路的设计实例
 4.5.1 组合逻辑电路
 4.5.2 寄存器和计数器
 4.5.3 有限状态机设计
 4.5.4 综合逻辑电路
 本章小结
 习题
 
第5章 硬件描述语言VHDL
 5.1 VHDL基本结构
 5.1.1 多路选择器的VHDL描述
 5.1.2 实体
 5.1.3 结构体
 5.1.4 库、程序包及配置
 5.2 VHDL语法规则
 5.2.1 文字规则
 5.2.2 数据对象
 5.2.3 数据类型
 5.2.4 VHDL操作符
 5.2.5 VHDL属性描述
 5.3 VHDL中的顺序语句
 5.3.1 赋值语句
 5.3.2 流程控制语句
 5.3.3 WAIT语句
 5.3.4 断言语句
 5.4 VHDL中的并行语句
 5.4.1 进程语句
 5.4.2 并行信号赋值语句
 5.4.3 块语句
 5.4.4 元件例化语句
 5.4.5 生成语句
 5.5 子程序
 5.5.1 函数
 5.5.2 过程
 5.5.3 子程序重载
 5.6 状态机的VHDL设计
 5.6.1 状态机的基本结构
 5.6.2 状态转移图
 5.6.3 状态机的VHDL描述
 5.6.4 状态机的图形编辑设计
 5.6.5 状态编码与状态分配
 5.6.6 剩余状态与容错技术
 5.7 数字电路设计
 5.7.1 VHDL的描述风格
 ……
第6章 硬件描述语言Verilog HDL
第7章 数字系统设计及实例
第8章 FPGA综合设计实践

作者介绍


文摘


序言



9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf mobi txt 电子书 下载 2024

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 下载 epub mobi pdf txt 电子书 2024

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 pdf 下载 mobi 下载 pub 下载 txt 电子书 下载 2024

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 mobi pdf epub txt 电子书 下载 2024

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf mobi txt 电子书 下载
想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

读者评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf mobi txt 电子书 下载 2024

类似图书 点击查看全场最低价

9787301238776 EDA技术及数字系统的应用 北京大学出版社 包明,曹阳 epub pdf mobi txt 电子书 下载 2024


分享链接









相关书籍


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.tinynews.org All Rights Reserved. 静思书屋 版权所有