數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf  mobi txt 電子書 下載

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf mobi txt 電子書 下載 2024

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
熊小君,馬然,王旭智,薛雷 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-18

商品介绍



齣版社: 清華大學齣版社
ISBN:9787302457282
版次:2
商品編碼:12126702
包裝:平裝
叢書名: 21世紀高等學校電子信息工程規劃教材
開本:16開
齣版時間:2017-01-01
用紙:膠版紙
頁數:210
字數:340000
正文語種:中文

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

編輯推薦

  本書是根據作者多年教學經驗編寫而成,在第一版的基礎上對內容進行瞭修正和更新。適應對象為高等院校本科電子信息、通信工程、電氣工程及自動化、機電工程及計算機科學與技術等專業。“數字邏輯電路分析與設計”是這些專業的一門必修的、重要的技術基礎課,讓學生建立對數字係統的基本概念、熟悉常用的基本器件、掌握基本的分析方法,從而掌握實際數字係統的分析和設計能力。

內容簡介

  本書以數字邏輯為基礎,全麵介紹瞭數字電路的基本理論、分析方法、綜閤方法和實際應用。本書共分8章,第1章介紹數製之間的轉換及常用的編碼;第2章介紹邏輯代數及邏輯化簡的基本方法;第3章介紹幾個常用的組閤邏輯模塊的應用;第4章和第5章介紹時序電路的分析、設計方法和中規模邏輯模塊的應用;第6章介紹數/模和模/數轉換電路;第7章介紹可編程邏輯器件的原理及應用;第8章以大量例題為背景介紹硬件描述語言VHDL。每章後麵附有相應的習題。
  本書可作為高等學校通信、電氣、電子信息、計算機、自動化等專業的大學本科教材,也可供其他從事電子技術工作的工程技術人員參考。

內頁插圖

目錄

第1章數字電路基礎
1.1數字信號與數字電路
1.1.1數字信號
1.1.2數字電路
1.2數值
1.2.1各種進製的錶示
1.2.2各種進製之間的轉換
1.3二值編碼
1.3.1帶符號數的錶示
1.3.2常用的二�彩�進製碼
1.3.3n位十進製數的BCD碼錶示及8421 BCD碼的加/減法
1.4邏輯關係
1.4.1基本邏輯關係
1.4.2復閤邏輯關係
1.5邏輯關係與數字電路
習題1
第2章邏輯函數與組閤電路基礎
2.1邏輯代數
2.1.1邏輯代數的基本公式
2.1.2邏輯代數的基本規則
2.1.3邏輯函數的公式法化簡
2.2邏輯函數的標準形式
2.2.1最小項與最小項錶達式
2.2.2最大項與最大項錶達式
2.2.3最小項與最大項的關係
2.3卡諾圖及其化簡
2.3.1卡諾圖
2.3.2邏輯函數與卡諾圖
2.3.3用卡諾圖化簡邏輯函數
2.3.4對具有無關項的邏輯函數的化簡
2.4組閤電路的設計基礎
2.4.1編碼器的設計
2.4.2譯碼器的設計
2.4.3數據選擇器的設計
2.4.4數值比較器的設計
2.4.52位加法器的設計
習題2
第3章組閤邏輯電路設計
3.1集成邏輯電路的電氣特性
3.1.1集成電路的主要電氣指標
3.1.2邏輯電路的輸齣結構
3.1.3芯片使用中注意的問題
3.1.4正、負邏輯極性
3.1.5常用門電路
3.2常用組閤邏輯模塊
3.2.14位並行加法器
3.2.2數值比較器
3.2.3譯碼器
3.2.4數據選擇器
3.2.5總綫收發器
3.3應用實例
3.4險象與競爭
3.4.1險象的分類
3.4.2不考慮延遲時的電路輸齣
3.4.3邏輯險象及其消除
3.4.4功能險象
3.4.5動態險象
習題3
第4章時序電路基礎
4.1集成觸發器
4.1.1基本RS觸發器
4.1.2鍾控RS觸發器
4.1.3D觸發器
4.1.4JK觸發器
4.2觸發器的應用
4.2.1D觸發器的應用
4.2.2JK觸發器的應用
4.2.3異步計數器
4.3同步時序邏輯電路
4.3.1時序邏輯電路的基本概念
4.3.2米裏型電路的分析舉例
4.3.3莫爾型電路分析舉例
4.3.4自啓動
4.4集成計數器及其應用
4.4.1集成計數器
4.4.2任意模計數器
4.4.3計數器的擴展
4.4.4集成計數器應用舉例
4.5集成移位寄存器及其應用
4.5.1集成移位寄存器
4.5.2移位型計數器
4.5.3移位寄存器在數據轉換中的應用
習題4
第5章同步時序電路和數字係統設計
5.1同步時序電路的基本設計方法
5.1.1原始狀態圖和狀態錶的建立
5.1.2用觸發器實現狀態分配
5.1.3導齣觸發器的激勵方程和輸齣方程
5.2用“觸發器組閤狀態法”設計同步時序邏輯電路
5.2.1寫齣編碼狀態錶
5.2.2化簡觸發器激勵函數的卡諾圖
5.2.3畫齣邏輯圖
5.3用“觸發器直接狀態法”設計同步時序邏輯電路
5.3.1觸發器狀態的直接分配
5.3.2做齣邏輯次態錶
5.3.3導齣各觸發器的激勵方程和電路的輸齣方程
5.3.4畫齣邏輯圖
5.4同步時序電路中的時鍾偏移
5.4.1時鍾偏移現象
5.4.2時鍾偏移的後果
5.4.3防止時鍾偏移的方法
習題5
第6章集成ADC和DAC的基本原理與結構
6.1集成數模轉換器
6.1.1二進製權電阻網絡DAC
6.1.2二進製T形電阻網絡DAC
6.2DAC的主要技術參數
6.2.1最小輸齣電壓和滿量程輸齣電壓
6.2.2分辨率
6.2.3轉換誤差和産生原因
6.2.4DAC的建立時間
6.3集成模數轉換器
6.3.1ADC的處理過程
6.3.2並行型 ADC
6.3.3逐次比較逼近型ADC
6.3.4雙積分型ADC
6.4ADC的主要技術參數
習題6
第7章可編程邏輯器件及其應用基礎
7.1PLD的基本原理
7.1.1PLD的基本組成
7.1.2PLD的編程和陣列結構
7.1.3PLD的邏輯符號
7.2隻讀存儲器
7.2.1ROM的組成原理
7.2.2ROM在組閤邏輯設計中的應用
7.3可編程邏輯陣列
7.3.1組閤邏輯PLA電路
7.3.2時序邏輯PLA電路
習題7
第8章硬件描述語言基礎
8.1硬件描述語言概述
8.2VHDL語言描述數字係統的基本方法
8.2.1VHDL庫和包
8.2.2實體描述語句
8.2.3結構體描述
8.3VHDL中的賦值、判斷和循環語句
8.3.1信號和變量的賦值語句
8.3.2IF�睧LSE語句
8.3.3CASE語句
8.3.4LOOP語句
8.3.5NEXT、EXIT語句
8.4進程語句
8.5VHDL設計組閤邏輯電路舉例
8.6VHDL設計時序邏輯電路舉例
8.6.1時鍾信號的描述
8.6.2觸發器的同步和非同步復位的描述
習題8
主要參考文獻


精彩書摘

  第3章組閤邏輯電路設計
  一般說來,根據輸齣信號對輸入信號響應的不同,邏輯電路可以分為兩類: 一類是組閤邏輯電路,稱為組閤電路; 另一類是時序邏輯電路,稱為時序電路。
  在組閤邏輯電路中,電路在任一時刻的輸齣信號僅決定於該時刻的輸入信號,而與電路原有的輸齣狀態無關。從電路結構上來看,組閤邏輯電路的輸齣端和
  圖3��1組閤邏輯電路的結構框圖
  輸入端之間沒有反饋迴路,其一般結構如圖3��1所示。
  對於組閤邏輯電路的工程實現,可分為兩種情況。第一種情況是,根據已導齣的邏輯圖,從市場上選用由集成電路製造商提供的集成電路芯片,從而構成具有預定功能的電氣裝置或部件,例如印刷電路闆。第二種情況是,從集成電路設計軟件的元件庫中,選擇相應的門及功能塊,進而構成集成電路芯片。無論何種情況,邏輯設計師必然十分熟悉各種實用芯片和功能塊的電氣特性,以及它們的邏輯功能,從而正確地、靈活地使用它們。本章將依托第一種實現情況,先介紹集成電路的主要電氣特性,再介紹常用的組閤邏輯模塊,進而討論組閤邏輯電路的設計。
  3.1集成邏輯電路的電氣特性
  市售的集成電路芯片,按製作工藝的不同和工作機理的不同,可分為TTL(晶體管�簿�體管邏輯)、MOS(金屬�� 氧化物�舶氳繼迓嘸�)和ECL(發射極耦閤邏輯)等。在MOS工藝的基礎上,發展而來的CMOS(互補MOS邏輯)和TTL這兩種集成電路得到瞭最為廣泛的應用。本節主要介紹這兩種電路。
  TTL是齣現較早的一種集成電路,在20世紀70到 80年代占有統治地位。
  按照允許的工作環境,可分為74係列和54係列,一般的工作電壓為5V左右。常用的為74係列,工作的溫度範圍是0~70℃; 54係列可在較大的環境溫度範圍(-55~125℃)內工作,價格昂貴,主要用於環境條件十分惡劣的一些軍用産品中。
  4000係列是美國半導體公司早期開發的CMOS集成電路,因其功耗小而在過去的一段時間裏也得到瞭較廣泛的應用,它的工作電壓範圍比較寬(5~18V)。後來,又發展瞭多種類型CMOS電路,例如HC(高速CMOS)、AHC(高級高速CMOS)、AC(高級CMOS)、HCT(與TTL兼容的高速CMOS)、ACT(與TTL兼容的高級CMOS)以及AHCT(與TTL兼容的高級高速CMOS)等類型,它們的工作電壓都是5V。由於當時 TTL所錶現的優點及市場占有率,在分類和命名規則方麵也嚮TTL靠攏,分為74和54兩個係列,采用與TTL相同的功能號,例如74 ACT00等。
  進入20世紀90年代以後,又發展瞭低壓CMOS電路,例如LV(低壓)、LVC(低壓CMOS)、ALVC(高級低壓CMOS)和ALVT(高級低壓工藝)等。LV和LVC的工作電壓為3.3V,ALVC和ALVT的工作電壓為2.5V。
  相對而言,TTL的工作速度較快,CMOS的功耗較小,為此把兩者集成在同一芯片上,取長補短,便産生瞭雙極型與CMOS的混閤工藝——BiCMOS工藝。ABT(高級BiCMOS工藝)就是錶示采用這一工藝的一類集成電路。近年來,CMOS工藝取得瞭長足進步,工作速度也越來越高,在LSI和VLSI中,得到瞭普遍采用。
  不同工藝的集成電路的電氣指標均不盡相同,集成電路手冊對各種集成電路芯片的邏輯功能和它們的電氣指標都作瞭詳細的說明。現以TTL與非門為例來說明主要電氣指標的含義,以便正確選擇和使用這些芯片。
  3.1.1集成電路的主要電氣指標
  1. 輸齣電壓與輸入電壓
  對於如圖3��2(a)所示的2輸入與非門,在邏輯上,當a·b=0時,c=1。這時門電路的輸齣電壓vc應為高電平。這一電平的實際值,將因集成電路的工藝不同而不同。對於TTL集成電路而言,空載時理論上約為3.6V。由於電路工作狀態的不同,實際值將低於這一數值。人們規定,如果TTL電路的實際電平vc≥2.4V,則仍認為該集成電路是閤格的,否則,將是不閤格的。所以2.4V是TTL電路輸齣高電平時允許的最低電平,用VOH來錶示。不同工藝的集成電路的VOH的值在圖3��2中可以查得。
  ……

前言/序言

  本書在第1版的基礎上對內容進行瞭修訂和更新。適閤的讀者對象為高等院校本科電子信息、通信工程、電氣工程及自動化、機電工程及計算機科學與技術等專業。“數字邏輯電路分析與設計”是這些專業的一門必修的、重要的技術基礎課,讓學生建立對數字係統的基本概念、熟悉常用的基本器件、掌握基本的分析方法,從而掌握實際數字係統的分析和設計能力。
  隨著計算機技術、電子技術的迅速發展以及集成電路生産工藝的不斷提高,電子産品的更新換代日新月異。為瞭適應現代電子技術迅速發展的需要,能夠較好地麵嚮數字化和專用集成電路的新時代,本書在保證基本概念、基本原理和基本分析方法的前提下,重邏輯,輕電氣,壓縮瞭集成電路電氣特性的討論和內部工作原理的分析,突齣瞭綜閤能力的培養及集成電路邏輯特性和工作特點的介紹。另外,電子設計自動化(EDA)技術是20世紀90年代以後發展起來的,它打破瞭傳統的由固定集成芯片組成數字係統的模式,給數字係統設計帶來瞭革命性的變化。尤其是在集成電路與可編程技術高速發展、數字係統日新月異的今天,電子信息類專業的學生掌握這門新技術十分必要。所以本書除瞭保留瞭數字邏輯電路的基本概念和傳統設計方法外,還介紹瞭可編程邏輯技術及硬件描述語言(VHDL)的基本要素,並通過大量實例講述瞭采用VHDL語言描述基本的數字電路的方法和過程,為學生掌握EDA技術打下良好的基礎。也使讀者能更深入地理解數字電路在後續課程中的應用,為麵嚮業界的工程應用人纔培養奠定基礎。
  本書是作者從實用角度齣發,結閤數字邏輯電路的知識體係,根據多年的教學經驗,參考眾多國內外優秀教材編寫而成的。全書共分8章。第1章介紹數字電路基礎(數製、碼製、基本邏輯關係等),第2章主要介紹邏輯函數的化簡及組閤邏輯電路的設計方法,第3章主要介紹常用組閤邏輯模塊的工作原理及其設計邏輯電路的方法,第4章主要介紹同步時序電路的分析方法,以及集成計數器和集成移位計數器在數字係統中的應用,第5章主要介紹使用觸發器設計同步時序電路的方法,第6章主要介紹ADC/DAC的基本原理及應用,第7章主要介紹可編程邏輯器件及其應用,第8章介紹VHDL的語言基礎,並以大量舉例來介紹使用VHDL設計數字係統。
  本書第1章和第2章由馬然編寫;第3章由王旭智編寫;第4章由熊小君編寫;第5章和第8章由硃雯君編寫;第6章和第7章由薛雷編寫,由熊小君擔任主編,負責全書的整理和定稿。由於水平有限,書中難免有一些不足,殷切希望廣大讀者批評指正。
  編者2016年9月


數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf mobi txt 電子書 下載 2024

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 下載 epub mobi pdf txt 電子書

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 mobi pdf epub txt 電子書 下載 2024

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

數字邏輯電路分析與設計教程(第2版)/21世紀高等學校電子信息工程規劃教材 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有