工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf  mobi txt 電子書 下載

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf mobi txt 電子書 下載 2024

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
王振紅 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-04

商品介绍



齣版社: 清華大學齣版社
ISBN:9787302354666
版次:1
商品編碼:11494920
品牌:清華大學
包裝:平裝
叢書名: EDA工程技術叢書
開本:16開
齣版時間:2014-07-01
用紙:膠版紙
頁數:564
正文語種:中文

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

  《工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言)》第1章介紹FPGA電子係統的設計方法。第2章介紹MAX+plus Ⅱ使用方法。第3~7章介紹FPGA硬件描述語言VHDL的特點、VHDL語言中常用的數據、運算符、順序描述語句和並行描述語句、時鍾信號描述方法、有限狀態機等基本概念和應用。第8章與清華大學閻石主編的《數字電子技術基礎》(第4版)同步,內容包括門電路、組閤邏輯電路、觸發器、時序邏輯電路,對其中的各種功能芯片以及基於VHDL及FPGA的實現方法進行瞭講解。第9章介紹FPGA外圍電路集成運算放大器及其各種應用。第10、11章對FPGA相關電路係統的設計進行實例介紹。  《工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言)》可作為高等院校電類專業學生學習VHDL及FPGA的實訓教科書,也可供有關工程技術人員參考使用。

目錄

第1章  FPGA電子係統的設計方法1.1電子係統設計1.1.1總體方案確定1.1.2子係統設計1.2印製電路闆設計1.2.1準備工作1.2.2元器件布局與布綫1.2.3印製焊盤的尺寸及形狀1.2.4印製導綫的尺寸及形狀1.2.5印製導綫的抗乾擾和屏蔽1.2.6印製電路闆的對外連接1.2.7錶麵貼裝技術對印製闆的要求1.3電子係統的安裝與調試1.3.1電子係統的安裝1.3.2元器件的引腳識彆1.3.3電子係統的調試1.4電子係統抗乾擾措施1.4.1正確選擇器件1.4.2正確分布元器件1.4.3交流輸入電源增加電源濾波器1.4.4施加屏蔽措施1.4.5使用閤理的接地方式1.4.6電路加入濾波電容和補償電容
第2章  MAX+plus Ⅱ使用方法2.1編程存儲及編譯2.2指定器件及編譯2.3指定器件引腳及編譯2.4下載2.5存儲及編譯圖形描述(電路圖)2.6仿真
第3章  FPGA的硬件描述語言VHDL3.1VHDL程序的特點3.2VHDL程序的基本結構3.2.1庫說明3.2.2實體說明3.2.3結構體說明3.3VHDL的數據3.3.1基本標誌符3.3.2數據對象3.3.3數據類型3.4VHDL的錶達式3.4.1邏輯運算符3.4.2算術運算符3.4.3關係運算符3.4.4並置運算符3.4.5操作符的運算優先級
第4章  VHDL的順序描述語句4.1信號賦值語句和變量賦值語句4.2if語句4.3case語句4.4for loop循環語句4.5null語句
第5章  VHDL的並行描述語句5.1進程語句5.2並發信號賦值語句5.3條件信號賦值語句5.4選擇信號賦值語句5.5元件例化語句5.6生成語句
第6章  VHDL的時鍾信號描述方法6.1時鍾信號的VHDL描述方法6.1.1時鍾邊沿的描述6.1.2時序電路中進程敏感信號是時鍾信號6.2時序電路中復位信號的VHDL描述方法6.2.1同步復位6.2.2異步復位
第7章  VHDL的有限狀態機的設計7.1有限狀態機的基本概念7.2一個Moore型有限狀態機的設計方法
第8章  FPGA數字電路設計實例8.1門電路FPGA設計8.1.1與非門電路8.1.2二輸入或非門電路8.1.3二輸入異或門電路8.1.4反嚮器門電路8.1.5三態門電路8.1.6單嚮總綫緩衝器8.1.7雙嚮總綫緩衝器8.2組閤邏輯電路FPGA設計8.2.1監視交通信號燈工作狀態的邏輯電路8.2.28綫—3綫編碼器8.2.38綫—3綫優先編碼器8.2.4二—十進製編碼器8.2.5譯碼器(3綫—8綫)8.2.6二—十進製譯碼器8.2.7BCD七段顯示譯碼器8.2.8代碼轉換電路8.2.9四選一數據選擇器8.2.10八選一數據選擇器8.2.11四位全加器8.2.12八位加法器8.2.13多位數值比較器8.3觸發器FPGA設計8.3.1RS觸發器8.3.2主從JK觸發器8.3.3D觸發器8.4時序邏輯電路FPGA設計8.4.1寄存器8.4.2雙嚮移位寄存器8.4.3串行輸入並行輸齣移位寄存器8.4.4循環移位寄存器8.4.5四位同步二進製計數器8.4.6單時鍾同步十六進製加/減計數器8.4.7雙時鍾同步十六進製加/減計數器8.4.8同步十進製加法計數器8.4.9單時鍾同步十進製可逆計數器8.4.10異步二進製加法計數器8.4.11同步一百進製計數器8.4.12同步二十九進製計數器8.4.13順序脈衝發生器8.4.14序列信號發生器8.4.15用狀態機方法設計十三進製計數器8.4.16串行數據檢測器8.4.17能自啓動的七進製計數器8.4.18能自啓動的三位環形計數器8.4.19用狀態機方法設計十進製減法計數器
第9章  FPGA外圍電路集成運算放大器9.1集成運算放大器典型電路9.1.1反相比例運算電路9.1.2同相比例運算電路9.1.3反相求和運算電路9.1.4同相求和運算電路9.1.5加減運算電路9.1.6積分運算電路9.1.7微分運算電路9.1.8壓控電壓源二階低通濾波器9.1.9壓控電壓源二階高通濾波器9.1.10RC橋式正弦振蕩電路9.1.11方波發生電路9.1.12方波和三角波發生電路9.1.13過零比較器9.1.14一般單限比較器9.1.15滯迴比較器9.1.16窗口比較器9.1.17精密整流電路9.2單電源供電的集成運算放大器的應用9.2.1單電源集成運放的放大電路9.2.2單電源集成運放的正弦波發生器9.2.3單電源集成運放的方波三角波發生器9.2.4單電源集成運放的低通濾波器9.2.5單電源集成運放的高通濾波器9.2.6單電源集成運放的比較器9.2.7單電源比較器9.2.8單電源比較器組成的窗口比較器9.2.9高效率音頻功率放大器9.2.10單電源集成運放綜閤設計9.3集成運算放大器的綜閤應用9.3.1小信號功率放大器9.3.2具有放大、濾波及繼電器控製的模擬信號檢測與控製係統9.3.3具有放大、F/V轉換及繼電器控製的模擬信號頻率檢測與控製係統9.3.4間歇正弦波控製路9.3.5語音信號放大器9.3.6程控放大器0~40dB9.3.7寬帶放大器9.3.8正弦交流電壓有效值控製的壓控振蕩電路9.3.9電壓轉換恒定電流電路9.3.10實用溫控器9.3.11用熱釋電傳感器的報警電路9.3.12基於PWM的LED調光電路9.3.13具有放大、濾波器、計數功能的電子電路第10章  FPGA數字電路係統設計實例
10.1數字信號的發送和接收電路10.2序列計數器10.3設計一個自動售郵票的控製電路10.4數字鎖10.5設計一個汽車尾燈的控製電路10.6交通燈控製器10.7雙十字路口交通燈控製器10.816×16的點陣顯示設計10.9乒乓球遊戲機10.10三層電梯控製器10.11汽車停車場停車位顯示係統10.12智力競賽搶答計時器的設計10.13齣租車計費器10.14定時器10.15秒錶10.16數字鍾10.17數字頻率計10.18電子琴電路設計10.19《友誼地久天長》樂麯演奏電路設計10.20寄存序列型信號發生器10.21正負脈寬數控調製信號發生器設計10.22智能函數發生器設計10.23周期可調的多波形發生器10.24模擬信號檢測10.25數據采集及監控係統
第11章  FPGA電子係統設計項目11.1項目1FPGA控製的數碼顯示電路11.1.1設計要求11.1.2設計分析11.1.3顯示原理11.1.4驅動八位數碼管顯示電路框圖11.1.5模塊及模塊功能11.2項目2鍵盤控製電路11.2.1設計要求11.2.2設計分析11.2.3設計思想與源程序11.3項目3用8×8行共陰、列共陽雙色點陣發光器件顯示漢字11.3.1設計要求11.3.2設計分析11.3.3器件及硬件電路11.3.4設計軟件的思路及源程序11.4項目4FPGA控製的數模D/A轉換電路11.4.1設計要求11.4.2設計分析11.4.3DAC0832轉換器11.4.4數模D/A轉換電路11.4.5FPGA控製的數模D/A轉換電路11.5項目5FPGA控製的模數A/D轉換0809的應用11.5.1設計要求11.5.2設計分析11.5.3ADC0809轉換器及其轉換電路11.5.4FPGA控製的模數A/D轉換電路11.5.5用數碼管顯示模數A/D轉換器的輸入電壓11.5.6ADC0809轉換模擬輸入負電壓電路11.6項目6數控式可逆步進調壓直流穩壓電源11.6.1設計要求11.6.2原理及硬件電路11.6.3軟件設計思想及源程序11.7項目7數控式直流電流源11.7.1設計指標及框圖11.7.2硬件電路圖11.7.3軟件設計思想及源程序11.8項目8低頻數字式相位測量儀11.8.1低頻數字式相位測量儀設計指標及框圖11.8.2移相網絡11.8.3相位測量11.9項目9多路數據采集係統11.9.1設計內容11.9.2現場模擬信號産生器11.9.3八路數據采集器11.9.4主控器11.10項目10測量放大器11.10.1測量放大器係統11.10.2橋式電路11.10.3信號變換放大器11.10.4直流電壓放大器11.10.5程控的直流電壓放大器11.11項目11功率放大器11.11.1設計任務11.11.2功率放大器11.11.3前置放大器11.11.4係統測試11.11.5自製穩壓電源11.11.6集成功率放大器11.12項目12開關型穩壓電源11.12.1脈衝寬度調製電路MIC219411.12.2MC34060控製的串聯型開關穩壓電源11.13項目13程控濾波器11.13.1設計要求11.13.2設計框圖11.13.3程控放大器11.13.4程控低通濾波器11.13.5程控高通濾波器11.13.6程控濾波器的FPGA控製核心11.14項目14信號發生器11.14.1設計要求11.14.2信號發生器的功能及其內部接綫11.14.3信號發生器的FPGA內部結構11.14.4調用MAX+plusⅡ10.2中的除法元件方法11.15項目15交流電壓參數的測量11.15.1設計要求11.15.2給定的器件11.15.3硬件電路11.15.4軟件電路11.16項目16寬帶放大器11.16.1設計要求11.16.2硬件電路11.16.3軟件電路11.17項目17高效率音頻功率放大器11.17.1設計要求11.17.2D類放大器的工作原理11.17.3硬件電路11.17.4軟件電路11.18項目18數字化語音存儲與迴放係統11.18.1設計要求11.18.2硬件電路11.18.3軟件電路11.19項目19數字式工頻有效值多用錶11.19.1硬件電路11.19.2軟件電路11.20項目20簡易電阻、電容和電感測量儀11.20.1設計要求11.20.2硬件電路11.20.3軟件電路11.21項目21數字幅頻均衡功率放大器11.21.1設計要求11.21.2設計框圖11.21.3三級放大電路11.21.4帶阻網絡11.21.5低通濾波電路11.21.6A/D轉換11.21.7D/A轉換和功率放大器11.21.8FPGA程序
附錄: 部分圖片索引參考文獻

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf mobi txt 電子書 下載 2024

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) 下載 epub mobi pdf txt 電子書

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) mobi pdf epub txt 電子書 下載 2024

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

很好很好很好

評分

  《工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言)》可作為高等院校電類專業學生學習VHDL及FPGA的實訓教科書,也可供有關工程技術人員參考使用。

評分

書的質量非常好,又便宜,物流又快,京東真的沒的說。

評分

是一本好書。。。。。。。

評分

很不錯就是這樣最好瞭太好瞭

評分

書包裝很不錯,內容很豐富,快遞給力,已經在開始慢慢學習中。

評分

書的質量非常好,又便宜,物流又快,京東真的沒的說。

評分

希望有用

評分

好書不解釋,專業權威,精心編纂,您值得擁有!

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

工程技術叢書:FPGA電子係統設計項目實戰(VHDL語言) epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有