EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf  mobi txt 電子書 下載

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf mobi txt 電子書 下載 2024

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
潘鬆,黃繼業,潘明 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-03

商品介绍



齣版社: 科學齣版社
ISBN:9787030387820
版次:5
商品編碼:11402635
包裝:平裝
叢書名: “十二五”普通高等教育本科國傢規劃教材
開本:16開
齣版時間:2013-11-01
用紙:膠版紙
頁數:386
字數:580000
正文語種:中文

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

  《EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材》根據課堂教學和實驗操作的要求,以提高實際工程設計能力為目的,深入淺齣地對EDA技術、VerilogHDL硬件描述語言、FPGA開發應用及相關知識做瞭係統和完整的介紹,使讀者通過本書的學習並完成推薦的實驗,能初步瞭解和掌握EDA的基本內容及實用技術。
  《EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材》包括EDA的基本知識、常用EDA工具的使用方法和目標器件的結構原理、以嚮導形式和實例為主的方法介紹的多種不同的設計輸入方法、對Verilog的設計優化以及基於EDA技術的典型設計項目。各章都安排瞭習題或針對性較強的實驗與設計。書中列舉的大部分Verilog設計實例和實驗示例實現的EDA工具平颱是QuartusII,硬件平颱是CycloneIII係列FPGA,並在EDA實驗係統上通過瞭硬件測試。
  《EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材》可作為高等院校電子工程、通信、工業自動化、計算機應用技術、電子對抗、儀器儀錶、數字信號或圖像處理等學科的本科生或研究生的電子設計、EDA技術課程和VerilogHDL硬件描述語言的教材及實驗指導書,同時也可作為相關專業技術人員的自學參考書。

目錄

第1章 EDA技術概述
1.1 EDA技術及其發展
1.2 EDA技術實現目標
1.3 硬件描述語言Verilog HDL
1.4 其他常用HDL
1.5 HDL綜閤
1.6 自頂嚮下的設計技術
1.7 EDA技術的優勢
1.8 EDA設計流程
1.8.1 設計輸入(原理圖/HDL文本編輯)
1.8.2 綜閤
1.8.3 適配
1.8.4 時序仿真與功能仿真
1.8.5 編程下載
1.8.6 硬件測試

第1章 EDA技術概述
1.1 EDA技術及其發展
1.2 EDA技術實現目標
1.3 硬件描述語言Verilog HDL
1.4 其他常用HDL
1.5 HDL綜閤
1.6 自頂嚮下的設計技術
1.7 EDA技術的優勢
1.8 EDA設計流程
1.8.1 設計輸入(原理圖/HDL文本編輯)
1.8.2 綜閤
1.8.3 適配
1.8.4 時序仿真與功能仿真
1.8.5 編程下載
1.8.6 硬件測試
1.9 ASIC及其設計流程
1.9.1 ASIC設計簡介
1.9.2 ASIC設計一般流程簡述
1.10 常用EDA工具
1.10.1 設計輸入編輯器
1.10.2 HDL綜閤器
1.10.3 仿真器
1.10.4 適配器
1.10.5 下載器
1.11 Quartus II概述
1.12 IP核
1.13 EDA技術發展趨勢管窺
習題

第2章 FPGA與CPLD的結構原理
2.1 PLD概述
2.1.1 PLD的發展曆程
2.1.2 PLD分類
2.2 簡單PLD結構原理
2.2.1 邏輯元件符號錶示
2.2.2 PROM結構原理
2.2.3 PLA結構原理
2.2.4 PAL結構原理
2.2.5 GAL結構原理
2.3 CPLD的結構原理
2.4 FPGA的結構原理
2.4.1 查找錶邏輯結構
2.4.2 Cyclone III係列器件的結構原理
2.5 硬件測試
2.5.1 內部邏輯測試
2.5.2 JTAG邊界掃描
2.6 PLD産品概述
2.6.1 Altera公司的PLD器件
2.6.2 Lattice公司的PLD器件
2.6.3 Xilinx公司的PLD器件
2.6.4 Actel公司的PLD器件
2.6.5 Altera的FPGA配置方式
2.7 CPLD/FPGA的編程與配置
2.7.1 CPLD在係統編程
2.7.2 FPGA配置方式
2.7.3 FPGA專用配置器件
2.7.4 使用單片機配置FPGA
2.7.5 使用CPLD配置FPGA
習題

第3章 組閤電路的Verilog設計
3.1 半加器電路的Verilog描述
3.2 多路選擇器的Verilog描述
3.2.1 4選1多路選擇器及case語句錶述方式
3.2.2 4選1多路選擇器及assign語句錶述方式
3.2.3 4選1多路選擇器及條件賦值語句錶述方式
3.2.4 4選1多路選擇器及條件語句錶述方式
3.3 Verilog加法器設計
3.3.1 全加器設計及例化語句應用
3.3.2 半加器的UDP結構建模描述方式
3.3.3 利用UDP元件設計多路選擇器
3.3.4 8位加法器設計及算術操作符應用
3.3.5 算術運算操作符
3.3.6 BCD碼加法器設計
3.4 組閤邏輯乘法器設計
3.4.1 參數定義關鍵詞parameter和localparam
3.4.2 整數型寄存器類型定義
3.4.3 for語句用法
3.4.4 移位操作符及其用法
3.4.5 兩則乘法器設計示例
3.4.6 repeat語句用法
3.4.7 while語句用法
3.4.8 parameter的參數傳遞功能
3.5 RTL概念
習題

第4章 時序仿真與硬件實現
4.1 Verilog程序輸入與仿真測試
4.1.1 編輯和輸入設計文件
4.1.2 創建工程
4.1.3 全程編譯前約束項目設置
4.1.4 全程綜閤與編譯
4.1.5 時序仿真
4.1.6 RTL圖觀察器應用
4.2 引腳鎖定與硬件測試
4.2.1 引腳鎖定
4.2.2 編譯文件下載
4.2.3 AS直接編程模式
4.2.4 JTAG間接編程模式
4.2.5 USB-Blaster驅動程序安裝方法
4.3 電路原理圖設計流程
4.4 利用屬性錶述實現引腳鎖定
4.5 keep屬性應用
4.6 SignalProbe使用方法
4.7 宏模塊邏輯功能查詢
習題
實驗與設計
4-1 多路選擇器設計實驗
4-2 8位加法器設計實驗
4-3 8位硬件乘法器設計實驗
4-4 十六進製7段數碼顯示譯碼器設計

第5章 時序電路的Verilog設計
5.1 基本時序元件的Verilog錶述
5.1.1 基本D觸發器及其Verilog錶述
5.1.2 用UDP錶述D觸發器
5.1.3 含異步復位和時鍾使能的D觸發器及其Verilog錶述
5.1.4 含同步復位控製的D觸發器及其Verilog錶述
5.1.5 基本鎖存器及其Verilog錶述
5.1.6 含清0控製的鎖存器及其Verilog錶述
5.1.7 異步時序電路的Verilog錶述特點
5.1.8 時鍾過程錶述的特點和規律
5.2 二進製計數器及其Verilog錶述
5.2.1 簡單加法計數器及其Verilog錶述
5.2.2 實用加法計數器設計
5.3 移位寄存器的Verilog錶述與設計
5.3.1 含同步預置功能的移位寄存器設計
5.3.2 使用移位操作符設計移位寄存器
5.4 可預置型計數器設計
5.4.1 同步加載計數器
5.4.2 異步加載計數器
5.4.3 異步清0加載計數器
5.4.4 同步清0加載計數器
5.5 時序電路硬件設計與仿真示例
5.5.1 編輯電路、創建工程和仿真測試
5.5.2 FPGA硬件測試
習題
實驗與設計
5-1 應用宏模塊設計數字頻率計
5-2 計數器設計實驗
5-3 數碼掃描顯示電路設計
5-4 模可控計數器設計
5-5 串行靜態顯示控製電路設計
5-6 高速硬件除法器設計
5-7 不同類型的移位寄存器設計

第6章 Quartus II應用深入
6.1 SignalTap II的使用方法
6.2 編輯SignalTap II的觸發信號
6.3 Fitter Settings項設置
6.4 功能塊Chip Planner應用
6.4.1 Chip Planner應用流程說明
6.4.2 Chip Planner說明
6.5 Synplify的應用及接口方法
6.5.1 Synplify使用流程
6.5.2 Synplify Pro與Quartus II接口
習題
實驗與設計
6-1 VGA彩條信號顯示控製電路設計
6-2 移位相加型8位硬件乘法器設計
6-3 半整數與奇數分頻器設計
6-4 基於Verilog代碼的頻率計設計

第7章 LPM宏模塊的應用
7.1 計數器LPM宏模塊調用
7.1.1 計數器LPM模塊文本代碼的調用
7.1.2 LPM計數器代碼與參數傳遞語句
7.1.3 創建工程與仿真測試
7.2 利用屬性控製乘法器的構建
7.3 LPM_RAM宏模塊的設置與使用
7.3.1 初始化文件及其生成
7.3.2 以原理圖方式對LPM_RAM進行設置和調用
7.3.3 測試LPM_RAM
7.3.4 存儲器的Verilog代碼描述
7.3.5 存儲器設計的結構控製
7.4 LPM_ROM的定製和使用示例
7.4.1 LPM_ROM的調用
7.4.2 簡易正弦信號發生器設計
7.4.3 正弦信號發生器硬件實現和測試
7.5 在係統存儲器數據讀寫編輯器應用
7.6 LPM嵌入式鎖相環調用
7.7 In-System Sources and Probes Editor使用方法
7.8 數控振蕩器核使用方法
7.9 FIR核使用方法
7.10 DDS實現原理與應用
7.10.1 DDS原理
7.10.2 DDS信號發生器設計示例
習題
實驗與設計
7-1 查錶式硬件運算器設計
7-2 正弦信號發生器設計
7-3 簡易邏輯分析儀設計
7-4 DDS正弦信號發生器設計
7-5 移相信號發生器設計
7-6 16位×16位高速硬件乘法器設計

第8章 Verilog設計深入
8.1 過程中的兩類賦值語句
8.1.1 未指定延時的阻塞式賦值語句
8.1.2 指定瞭延時的阻塞式賦值
8.1.3 未指定延時的非阻塞式賦值
8.1.4 指定瞭延時的非阻塞式賦值
8.1.5 深入認識阻塞與非阻塞式賦值的特點
8.1.6 不同的賦初值方式導緻不同綜閤結果的示例
8.2 過程語句歸納
8.2.1 過程語句應用總結
8.2.2 深入認識不完整條件語句與時序電路的關係
8.3 if語句歸納
8.3.1 if語句的一般錶述形式
8.3.2 關注if語句中的條件指示
8.4 三態與雙嚮端口設計
8.4.1 三態控製電路設計
8.4.2 雙嚮端口設計
8.4.3 三態總綫控製電路設計
習題
實驗與設計
8-1 硬件消抖動電路設計
8-2 4×4陣列鍵盤鍵信號檢測電路設計
8-3 直流電機綜閤測控係統設計
8-4 VGA簡單圖像顯示控製模塊設計
8-5 樂麯硬件演奏電路設計

第9章 Verilog係統設計優化
9.1 資源優化
9.1.1 資源共享
9.1.2 邏輯優化
9.1.3 串行化
9.2 速度優化
9.2.1 流水綫設計
9.2.2 寄存器配平
9.2.3 關鍵路徑法
9.2.4 乒乓操作法
9.2.5 加法樹法
習題
實驗與設計
9-1 采用流水綫技術設計高速數字相關器
9-2 綫性反饋移位寄存器設計
9-3 基於UART串口控製的模型電子琴設計
9-4 PS2鍵盤控製模型電子琴電路設計
9-5 AM幅度調製信號發生器設計

第10章 Verilog狀態機設計技術
10.1 Verilog狀態機的一般形式
10.1.1 狀態機的特點與優勢
10.1.2 狀態機的一般結構
10.1.3 初始控製與錶述
10.2 Moore型狀態機及其設計
10.2.1 多過程結構狀態機
10.2.2 序列檢測器及其狀態機設計
10.3 Mealy型狀態機設計
10.4 狀態機圖形編輯設計
10.5 不同編碼類型狀態機
10.5.1 直接輸齣型編碼
10.5.2 用宏定義語句定義狀態編碼
10.5.3 宏定義命令語句
10.5.4 順序編碼
10.5.5 一位熱碼編碼
10.5.6 狀態編碼設置
10.6 異步有限狀態機設計
10.7 安全狀態機設計
10.7.1 狀態導引法
10.7.2 狀態編碼監測法
10.7.3 藉助EDA工具自動生成安全狀態機
10.8 硬件數字技術排除毛刺
10.8.1 延時方式去毛刺
10.8.2 邏輯方式去毛刺
習題
實驗與設計
10-1 序列檢測器設計
10-2 ADC采樣控製電路設計
10-3 數據采集模塊設計
10-4 五功能智能邏輯筆設計

第11章 16位實用CPU創新設計
11.1 KX9016的結構與特色
11.2 KX9016基本硬件係統設計
11.2.1 單步節拍發生模塊
11.2.2 ALU模塊
11.2.3 比較器模塊
11.2.4 基本寄存器與寄存器陣列組
11.2.5 移位器模塊
11.2.6 程序與數據存儲器模塊
11.3 KX9016v1指令係統設計
11.3.1 指令格式
11.3.2 指令操作碼
11.3.3 軟件程序設計實例
11.3.4 KX9016v1控製器設計
11.3.5 指令設計實例詳解
11.4 KX9016的時序仿真與硬件測試
11.4.1 時序仿真與指令執行波形分析
11.4.2 CPU工作情況的硬件測試
11.5 KX9016應用程序設計實例和係統優化
11.5.1 乘法算法及其硬件實現
11.5.2 KX9016v1的硬件係統優化
習題
實驗與設計
11-1 16位CPU驗證性設計綜閤實驗
11-2 新指令設計及程序測試實驗
11-3 16位CPU的優化設計與創新
11-4 CPU創新設計競賽

第12章 MCU與FPGA片上係統開發
12.1 FPGA擴展MCU開發技術
12.1.1 FPGA擴展方案及其係統設計技術
12.1.2 基於單片機IP軟核的SOC設計方案
12.2 FPGA擴展方案設計實例
12.2.1 串進並齣/並進串齣雙嚮端口擴展模塊設計
12.2.2 8位四通道數據交換擴展模塊設計
12.2.3 存儲器讀寫的FPGA擴展模塊設計
12.2.4 四通道PWM信號發生器接口模塊設計
12.2.5 李薩如圖波形發生器擴展模塊設計
12.3 基於單片機核的FPGA片上係統設計
12.3.1 單片機擴展串進並齣/並進串齣模塊的SOC設計
12.3.2 擴展SRAM模塊的片上係統設計
12.3.3 擴展移相信號發生器模塊的片上係統設計
實驗與設計
12-1 單片機串口擴展FPGA片上係統設計
12-2 單片機數據交換FPGA擴展電路設計
12-3 擴展外部數據存儲器的FPGA單片係統設計
12-4 四通道PWM信號發生器及其MCU控製係統設計
12-5 移相信號發生器和掃頻信號發生器的片上係統設計
12-6 李薩如圖波形發生器的FPGA片上係統設計
12-7 脈寬/占空比/等精度頻率多功能測試儀設計

第13章 Verilog語句語法補充說明
13.1 Verilog文字規則
13.2 數據類型
13.2.1 net網綫類型
13.2.2 register寄存器類型
13.2.3 存儲器類型
13.3 操作符
13.4 常用語句補充
13.4.1 initial過程語句使用示例
13.4.2 forever循環語句
13.4.3 編譯指示語句
13.4.4 任務和函數語句
13.5 用庫元件實現結構描述
習題
實驗與設計
13-1 SPWM脈寬調製控製係統設計
13-2 點陣型與字符型液晶顯示器驅動控製電路設計
13-3 數字彩色液晶顯示控製電路設計
13-4 串行ADC/DAC控製電路設計

第14章 Verilog Test Bench仿真
14.1 Verilog行為仿真流程
14.2 Verilog測試基準實例
14.3 Verilog Test Bench測試流程
14.4 Verilog係統任務和係統函數
14.4.1 係統任務和係統函數
14.4.2 預編譯語句
14.5 延時模型
14.5.1 #延時和門延時
14.5.2 延時說明塊
14.6 其他仿真語句
14.6.1 fork_join塊語句
14.6.2 wait語句
14.6.3 force語句和release語句
14.6.4 deassign語句
14.7 仿真激勵信號的産生
14.8 Verilog數字係統仿真
習題
實驗與設計
14-1 在ModelSim上對計數器的Test Bench進行仿真
14-2 在ModelSim上進行16位纍加器設計仿真
附錄 EDA開發係統及相關軟硬件
參考文獻

精彩書摘

  在現代電子設計領域,Verilog HDL作為IEEE標準的兩大主流HDL之一,相比於VHDL,具有易學易用和享有ASIC設計領域的主導地位等諸多優勢,在全球範圍內其用戶覆蓋率一直處於上升趨勢;統計資料錶明Verilog HDL現已超過80%的行業覆蓋率,例如美國使用Verilog HDL的工程師占HDL設計行業工程師的90%以上,並仍有上升趨勢。由此勢必導緻我國Verilog HDL工程師和相關就業領域人纔需求的不斷增加。本書以Verilog HDL作為基本硬件描述語言來介紹EDA技術。作為教科書,與科學齣版社齣版的《EDA技術實用教程——VHDL版》構成瞭姐妹篇。
  為瞭適應EDA技術在高新技術行業就業中的需求和高校教學的要求,突齣EDA技術的實用性,以及麵嚮工程實際的特點和自主創新能力的培養,作者力圖將EDA技術最新的發展成果、現代電子設計最前沿的理論和技術、國際業界普遍接受和認可的EDA軟硬件開發平颱的實用方法,通過本書閤理的綜閤和萃取,奉獻給廣大讀者。
  隨著EDA技術的發展和應用領域的擴大,EDA技術在電子信息、通信、自動控製及計算機應用等領域的重要性日益突齣。同時隨著技術市場與人纔市場對EDA技術需求的不斷提高,産品的市場效率和技術要求也必然會反映到教學和科研領域中來。以最近幾屆全國大學生電子設計競賽為例,涉及EDA技術的賽題從未缺席過。對諸如斯坦福大學、麻省理工學院等美國一些著名院

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf mobi txt 電子書 下載 2024

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 下載 epub mobi pdf txt 電子書

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 mobi pdf epub txt 電子書 下載 2024

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

不錯,值得一看!!!!!!

評分

評分

紙質不好。。。。。。

評分

  為瞭適應EDA技術在高新技術行業就業中的需求和高校教學的要求,突齣EDA技術的實用性,以及麵嚮工程實際的特點和自主創新能力的培養,作者力圖將EDA技術最新的發展成果、現代電子設計最前沿的理論和技術、國際業界普遍接受和認可的EDA軟硬件開發平颱的實用方法,通過本書閤理的綜閤和萃取,奉獻給廣大讀者。

評分

書還可以,略有點髒……

評分

京東商城發貨送貨快,東西實惠,快遞員送貨服務好,滿意!

評分

  隨著EDA技術的發展和應用領域的擴大,EDA技術在電子信息、通信、自動控製及計算機應用等領域的重要性日益突齣。同時隨著技術市場與人纔市場對EDA技術需求的不斷提高,産品的市場效率和技術要求也必然會反映到教學和科研領域中來。以最近幾屆全國大學生電子設計競賽為例,涉及EDA技術的賽題從未缺席過。對諸如斯坦福大學、麻省理工學院等美國一些著名院校的電子與計算機實驗室建設情況的調研也錶明,其EDA技術的教學與實踐的內容也十分密集,在其本科和研究生教學中有兩個明顯的特點:其一,各專業中EDA教學實驗課程的普及率和滲透率極高;其二,幾乎所有實驗項目都部分或全部地融入瞭EDA技術,其中包括數字電路、計算機組成與設計、計算機接口技術、數字通信技術、嵌入式係統、DSP等實驗內容,並且更多地注重創新性實驗。這顯然是科技發展和市場需求雙重影響下自然産生的結果。

評分

送貨時間挺準時,但到貨時候拆開一看,書的一個角都破瞭,紙質看著跟盜版似的,要是我有時間,就肯定退貨瞭…

評分

是正版書,京東送貨速度快,很滿意!

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

EDA技術實用教程:Verilog HDL版(第五版)/“十二五”普通高等教育本科國傢規劃教材 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有