ad holder

VHDL數字電路設計教程 epub pdf  mobi txt 電子書 下載

VHDL數字電路設計教程 epub pdf mobi txt 電子書 下載 2024

VHDL數字電路設計教程 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
[巴西] 沃爾尼·A. 佩德羅尼(Volnei A. Pedroni) 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-04-28

商品介绍



齣版社: 電子工業齣版社
ISBN:9787121186721
版次:1
商品編碼:11179021
包裝:平裝
叢書名: 國外電子與通信教材係列
開本:16開
齣版時間:2013-01-01
正文語種:中文

VHDL數字電路設計教程 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

編輯推薦

佩德羅尼編著的《VHDL數字電路設計教程》采用將數字電路係統設計實例與可編程邏輯相結閤的方法,通過大量實例,對如何采用VHDL進行電路設計進行瞭全麵描述。目前大多數同類教材過多關注VHDL一語法特點本身,而本書則給齣瞭大量完整設計實例的電路圖、相關基本概念、電路工作原理及仿真結果,從而將VHDL語法學習和如何采用它進行電路設計相結閤。本書對VHOL的講述簡明而完整,對與VHDL綜閤相關的內容進行瞭詳細討論和說明。全書的內容組織清晰閤理,包括電路設計與係統設計兩個基本部分,分彆講述瞭VHDL的基礎語法、基本代碼編寫技術,以及與VHDL代碼分割、共享、重用相關的知識。

內容簡介

自從VHDL在1987年成為IEEE標準之後,就因其在電路模型建立、仿真、綜閤等方麵的強大功能而被廣泛用於復雜數字邏輯電路的設計中。佩德羅尼編著的《VHDL數字電路設計教程》共分為三個基本組成部分,首先詳細介紹VHDL語言的背景知識、基本語法結構和VHDL代碼的編寫方法;然後介紹VHDL電路單元庫的結構和使用方法,以及如何將新的設計加入到現有的或自己新建立的單元庫中,以便於進行代碼的分割、共享和重用;最後介紹CPLD和FPGA的發展曆史、主流廠商提供的開發環境使用方法。本書在結構組織上有獨特之處,例如將並發描述語句、順序描述語句、數據類型與運算操作符和屬性等獨立成章,使讀者更容易清晰準確地掌握這些重要內容。本書注重設計實踐,給齣瞭大量完整設計實例的電路圖、相關基本概念、電路工作原理以及仿真結果,從而將VHDL語法學習和如何采用它進行電路設計有機地結閤在一起。
《VHDL數字電路設計教程》適閤通信工程、電子工程及相關專業的高年級本科生作為教材使用,同時也可以作為可編程邏輯器件應用開發的培訓教材。

目錄

第一部分  電路設計

第1章  引言  2

1.1  關於VHDL  2

1.2  設計流程  2

1.3  EDA工具  3

1.4  從VHDL代碼到電路的轉化  4

1.5  設計實例  6

第2章  VHDL代碼結構  9

2.1  VHDL代碼基本單元  9

2.2  庫聲明  10

2.3  實體  11

2.4  構造體  12

2.5  例題  13

2.6  習題  16

第3章  數據類型  19

3.1  預定義的數據類型  19

3.2  用戶定義的數據類型  22

3.3  子類型  23

3.4  數組  24

3.5  端口數組  26

3.6  記錄類型  27

3.7  有符號數和無符號數  28

3.8  數據類型轉換  29

3.9  小結  30

3.10 例題  31

3.11 習題  35

第4章  運算操作符和屬性  37

4.1  運算操作符  37

4.2  屬性  40

4.3  用戶自定義屬性  42

4.4  操作符擴展  43

4.5  通用屬性語句  43

4.6  設計實例  44

4.7  小結  48

4.8  習題  49

第5章  並發代碼  51

5.1  並發執行和順序執行  51

5.2  使用運算操作符  53

5.3  WHEN語句  54

5.4  GENERATE語句  63

5.5  塊語句  65

5.6  習題  68

第6章  順序代碼  72

6.1  進程  72

6.2  信號和變量  74

6.3  IF語句  74

6.4  WAIT語句  78

6.5  CASE語句  80

6.6  LOOP語句  84

6.7  CASE語句和IF語句的比較  91

6.8  CASE語句和WHEN語句的比較  91

6.9  同步時序電路中的時鍾問題  92

6.10 使用順序代碼設計組閤邏輯電路  96

6.11 習題  98

第7章  信號和變量  103

7.1  常量  103

7.2  信號  103

7.3  變量  105

7.4  信號和變量的比較  106

7.5  寄存器的數量  112

7.6  習題  121

第8章  狀態機  128

8.1  引言  128

8.2  設計風格#1  129

8.3  設計風格#2  136

8.4  狀態機編碼風格:二進製編碼和獨熱編碼  149

8.5  習題  150

第9章  典型電路設計分析  153

9.1  桶形移位寄存器  153

9.2  有符號數比較器和無符號數比較器  156

9.3  逐級進位和超前進位加法器  159

9.4  定點除法  162

9.5  自動售貨機控製器  166

9.6  串行數據接收器  171

9.7  並/串變換器  173

9.8  一個7段顯示器的應用例題  175

9.9  信號發生器  178

9.10 存儲器設計  181

9.11 習題  186

第二部分  係統設計

第10章  包集和元件  192

10.1  概述  192

10.2  包集  193

10.3  元件  195

10.4  端口映射  201

10.5  GENERIC參數的映射  202

10.6  習題  208

第11章  函數和過程  209

11.1  函數  209

11.2  函數的存放  211

11.3  過程  219

11.4  過程的存放  221

11.5  函數與過程小結  224

11.6  斷言語句  224

11.7  習題  224

第12章  係統設計實例分析  226

12.1  串-並型乘法器  226

12.2  並行乘法器  230

12.3  乘-纍加電路  235

12.4  數字濾波器  238

12.5  神經網絡  243

12.6  習題  249

附錄A  可編程邏輯器件  251

附錄B  Xilinx ISE和ModelSim使用指南  259

附錄C  Altera MaxPlus II和Advanced Synthesis Software使用指南  267

附錄D  Altera Quartus II使用指南  277

VHDL保留字  285

參考文獻  286

前言/序言


VHDL數字電路設計教程 epub pdf mobi txt 電子書 下載 2024

VHDL數字電路設計教程 下載 epub mobi pdf txt 電子書

VHDL數字電路設計教程 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

VHDL數字電路設計教程 mobi pdf epub txt 電子書 下載 2024

VHDL數字電路設計教程 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

書中大量實例,深入淺齣,初學者看沒有壓力。

評分

書質量一般,內容是比較好的

評分

不錯,速度就是快,質量也好

評分

很薄的一本書,但是內容足夠瞭解VHDL瞭。以前上大學的時候學過VHDL,不過當時沒學得很仔細。最近項目要用FPGA,於是買瞭這本書,想重新拾起來。感覺這本書能助我一臂之力

評分

先買著,一邊工作一遍學習,直到全部看完

評分

Verilog沒怎麼學,買一本好好看看

評分

我覺得這東西感覺還可以的。

評分

送貨速度快。圖書正在學習中,感覺還不錯。

評分

VHDL數字電路設計教程 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

VHDL數字電路設計教程 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有