電子電路設計與實踐 9787118102901 epub pdf  mobi txt 電子書 下載

電子電路設計與實踐 9787118102901 epub pdf mobi txt 電子書 下載 2024

電子電路設計與實踐 9787118102901 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
劉妍妍,周文良 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-14

商品介绍



店鋪: 韻讀圖書專營店
齣版社: 國防工業齣版社
ISBN:9787118102901
商品編碼:29764607914
包裝:平裝
齣版時間:2015-07-01

電子電路設計與實踐 9787118102901 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

   圖書基本信息
圖書名稱 電子電路設計與實踐 作者 劉妍妍,周文良
定價 36.00元 齣版社 國防工業齣版社
ISBN 9787118102901 齣版日期 2015-07-01
字數 頁碼
版次 1 裝幀 平裝
開本 16開 商品重量 0.4Kg

   內容簡介

   作者簡介

   目錄
章 電子電路設計 1.1 電子電路設計的含義 1.2 電子電路設計的目的 1.3 電子電路設計的內容步驟 1.4 電子電路的創新設計 1.5 電子電路設計的幾個關鍵點第2章 電子元器件簡介 2.1 電阻器 2.1.1 電阻器的主要技術指標 2.1.2 電阻器的標誌內容及認識 2.1.3 種常用電阻器的特點及應用 2.1.4 電阻器的閤理選用與質量判彆 2.2 電位器 2.2.1 電位器的主要技術指標 2.2.2 電位器的類彆 2.3 電容器 2.3.1 電容器的主要技術參數 2.3.2 容量值的標誌方法 2.3.3 幾種常見的電容器及其質量判彆 2.4 電感器 2.5 變壓器 2.5.1 收音機中頻變壓器 2.5.2 脈衝變壓器和開關變壓器 2.5.3 音頻變壓器 2.5.4 512頻變壓器 2.6 開關及接插元件簡介 2.6.1 常用接插件 2.6.2 開關 2.7 繼電器 2.7.1 電磁式繼電器 2.7.2 乾簧繼電器 2.7.3 固態繼電器 2.8 散熱器 2.8.1 散熱器的材料、型號及主要參數 2.8.2 散熱器安裝注意事項 2.9 半導體分立器件 2.9.1 半導體器件的命名方法 2.9.2 二極管 2.9.3 穩壓二極管 2.9.4 晶體三極管 2.9.5 場效應管 2.9.6 光電器件 2.10 半導體集成電路 2.10.1 基本結構與類彆 2.10.2 型號、命名、封裝 2.10.3 使用與注意事項第3章 電子電路的工藝過程 3.1 焊接技術 3.1.1 焊接工具 3.1.2 焊料與焊劑 3.1.3 焊接工藝 3.1.4 典型焊接方法及工藝 3.2 印製電路闆的設計 3.2.1 印製電路闆設計前的準備 3.2.2 闆材的準備 3.2.3 印製電路闆對外連接方式的選擇 3.2.4 印製電路闆的分布設計 3.2.5 元器件的安裝與布局 3.2.6 焊盤及印製導綫 3.2.7 草圖的繪製 3.2.8 底圖的形成 3.3 印製電路闆的製作 3.3.1 印製電路闆製作過程 3.3.2 業餘印製電路闆製作過程第4章 直流穩壓電源電路的設計 4.1 基本電源電路設計 4.1.1 通用型直流穩壓電源組成原理 4.1.2 綫性調整式直流穩壓電源的設計 4.1.3 三端集成穩壓器工作原理 4.2 電子變壓器與電子鎮流器 4.2.1 隔離式50W自激電子變壓器電路 4.2.2 他激式電子變壓器 4.2.3 日光燈電子鎮流器 4.3 開關電源的設計 4.3.1 簡易開關電源設計 4.3.2 UC3842原理與應用 4.3.3 ToP224三端開關電源 4.3.4 TL494.的原理與應用 4.3.5 有源功率因數補償電路的設計 4.4 實用電路應用與設計舉例 4.4.1 三端集成穩壓器應用設計 4.4.2 分立元件大電流直流穩壓電源設計第5章 常見模擬電路的應用設計 5.1 放大電路的設計 5.1.1 基本放大電路簡介 5.1.2 助聽器電路設計 5.1.3 音頻功率放大 5.1.4 話音收發電路設計 5.2 無綫電接收電路設計 5.2.1 無綫電的基本概念 5.2.2 簡易收音機電路 5.2.3 超外差式收音機 5.2.4 調頻收音機電路 5.2.5 業餘無綫電頻率及其接收 5.3 無綫電發射電路設計 5.3.1 調頻無綫話筒電路實驗 5.3.2 無綫對講電路 5.4 電子開關及其應用電路 5.4.1 調功控製電路 5.4.2 節能開關電路 5.5 敏感電路及其應用 5.5.1 電壓檢測電路 5.5.2 電流檢測電路第6章 常見數字電路的設計 6.1 數字電路設計的基本描述 6.1.1 關於數字電路的基本概念 6.1.2 數字電路實際應用設計與開發的工作流程 6.1.3 數字電路設計的基本方法 6.2 常用單元電路設計 6.2.1 基本門電路及其應用 6.2.2 二極管矩陣電路原理及其應用設計 6.2.3 常見組閤邏輯電路設計 6.2.4 7段譯碼及顯示電路 6.2.5 秒波發生器電路 6.2.6 觸發器的基本應用設計 6.2.7 計數器應用設計 6.3 實用數字電路設計 6.3.1 簡易彩燈控製器電路 6.3.2 10路單選開關電路設計 6.3.3 藝數字計時鍾設計 6.3.4 數字定時器電路設計第7章 綜閤應用設計實例 7.1 自激多諧振蕩電路設計與應用 7.1.1 雙極型三極管自激多諧振蕩電路 7.1.2 基本門自激多諧振蕩電路 7.1.3 NE555自激多諧振蕩電路 7.1.4 使用瞭運算放大器的自激多諧振蕩電路 7.2 小功率DC-DC變換器設計 7.2.1 簡易升壓DC—DC變換器電路 7.2.2 給萬用錶加裝DC—DC變換器 7.2.3 小功率DC一:DC變換器電路 7.2.4 簡易多路輸齣開關電源設計 7.3 大功率負載闆設計 7.3.1 設計目標 7.3.2 設計過程 7.3.3 實驗室條件下的一種實現方法 7.4 頻率閤成器設計與應用 7.4.1 鎖相環電路結構及其專門元件 7.4.2 簡易數字信號發生電路 7.4.3 模擬正弦波信號發生器實驗電路 7.5 正交變壓器綜閤應用 7.5.1 t交變壓器(OrthorhombicTransformer)的一種實現方法 7.5.2 IT_交變壓器的應用 7.6 溫度的檢測與控製 7.6.1 溫度敏感器件 7.6.2 簡易溫度控製電路 7.6.3 實際設計舉例 7.7 計算機防誤的遠程操作與控製 7.7.1 係統組成及其描述 7.7.2 係統硬件組成與相關電路設計附錄 附錄1 常用電氣圖形符號錶 附錄2 電子技術常用計量單位及符號 附錄3 電子、電氣技術常用輔助文字符號新舊對照錶 附錄4 倍數與分數單位詞頭 附錄5 常用二極管型號與參數 附錶5.1 常用老式檢波二極管參數 附錶5.2 常用老式穩壓二極管參數 附錶5.3 常用1N係列穩壓二極管及其代用型號 附錄6 常用雙極型三極管、場效應管型號與參數 附錶6.1 高頻的小功率鍺PNt,三極管基本參數 附錶6.2 高頻的小功率矽NPN三極管基本參數 附錶6.3 低頻的小功率鍺PNt’三極管基本參數 附錶6.4 常用低頻大功率鍺PNP’型晶體管 附錶6.5 新型小功率三極管參數 附錶6.6 常用中、大功率三極管參數 附錶6.7 常用大功率場效應三極管參數 附錶6.8 常用音響對管參數 附錶6.9 常用達林頓管型號和基本參數 附錶6.10 常用音響達林頓對管型號和基本參數 附錄7 常用集成運算放大器基本參數與引腳分布 附錄8 常用數字集成電路功能索引 附錶8.1 ITI.數字集成電路型號功能索引 附錶8.2 CM()s數字集成電路型號功能索引後記參考文獻

電子電路設計與實踐 9787118102901 epub pdf mobi txt 電子書 下載 2024

電子電路設計與實踐 9787118102901 下載 epub mobi pdf txt 電子書

電子電路設計與實踐 9787118102901 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

電子電路設計與實踐 9787118102901 mobi pdf epub txt 電子書 下載 2024

電子電路設計與實踐 9787118102901 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

電子電路設計與實踐 9787118102901 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

電子電路設計與實踐 9787118102901 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有


   編輯推薦
劉妍妍、周文良主編的《電子電路設計與實踐( 普通高等教育十二五規劃教材)》屬於電子電路設計與應用類教材,共分為5個部分:**部分簡單描述電子電路設計的內涵;第二部分介紹常用電子元器件;第三部分介紹電子電路的具體實現過程;第四部分是本書的重要成分,主要介紹常用電子電路設計,其中包括電源電路的設計、放大與控製電路的設計、數字電路設計、綜閤應用性設計;第五部分為附錄,采用*簡形式給齣電子電路設計所需的常用基礎知識資料和常用元器件型號、參數以及部分數字集成電路功能索引等資料,供讀者設計電路時查閱和參考。
  本書可作為工科院校和相關院校的工科電氣類、自控類、電子類、計算機類以及非電類各相關專業的技能實訓、工藝實習、課程設計等實踐教學的教材,也可以作為電子産品開發與設計工作人員的參考書。