EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf  mobi txt 電子書 下載

EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf mobi txt 電子書 下載 2024

EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
關可 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-10

商品介绍



店鋪: 廣影圖書專營店
齣版社: 清華大學齣版社
ISBN:9787302302681
商品編碼:29750731652
包裝:平裝
齣版時間:2012-12-01

EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

基本信息

書名:EDA技術與應用(全國普通高等院校電子信息規劃教材)

定價:22.00元

作者:關可

齣版社:清華大學齣版社

齣版日期:2012-12-01

ISBN:9787302302681

字數:

頁碼:

版次:1

裝幀:平裝

開本:16開

商品重量:0.4kg

編輯推薦


內容提要


  《eda技術與應用》以altera公司的ep1c3型fpga為藍本,詳細介紹瞭ep1c3的內部結構及功能設計、altera的fpga設計工具quartusii的設計方法以及vhdl硬件描述語言,並通過相應的實例分析、實例設計和拓展思維訓練三個環節,引導讀者能夠快速掌握fpga的設計方法和設計理念,並通過訓練逐步提高自己的設計水平。在每章後麵還附有習題,便於讀者學習和教學使用。

  《eda技術與應用》可以作為高等院校電子工程、通信、工業自動化、計算機應用技術等學科的本科生或研究生的電子設計或eda技術課程的教材和實驗指導書,也可作為相關專業技術人員的參考書。

目錄


章 緒論

1.1 pld的分類

1.2 pld設計的基本流程

1.2.1 設計輸入

1.2.2 設計綜閤

1.2.3 仿真驗證

1.2.4 設計實現

1.2.5 下載驗證

1.3 pld設計的常用工具

1.3.1 altera公司設計開發工具

1.3.2 xilinx公司設計開發工具

1.4 pld技術發展趨勢

習題

第2章 ep1c3型fpga結構

2.1 邏輯陣列塊

2.1.1 lab連接

2.1.2 lab控製信號

2.2 邏輯單元

2.2.1 lut鏈和寄存器鏈

.2.2.2 addnsub信號

2.2.3 le操作模式

2.3 多路徑互連

2.3.1 行互連

2.3.2 列互連

2.4 嵌入式存儲器

2.4.1 存儲器模式

2.4.2 奇偶位支持

2.4.3 移位寄存器支持

2.4.4 存儲器大小配置

2.4.5 字節使能

2.4.6 控製信號和m4k接口

2.4.7 獨立時鍾模式

2.4.8 輸入/輸齣時鍾模式

2.4.9 讀/寫時鍾模式

2.4.10 單端口模式

2.5 全局時鍾網絡和鎖相環

2.5.1 全局時鍾網絡

2.5.2 雙用途時鍾管腳

2.5.3 組閤資源

2.5.4 鎖相環

2.5.5 時鍾的倍頻和分頻

2.5.6 外部時鍾輸入

2.5.7 外部時鍾輸齣

2.5.8 時鍾反饋

2.5.9 相移

2.5.10 鎖定檢測信號

2.5.11 可編程占空比

2.5.12 控製信號

2.6 輸入/輸齣結構

2.6.1 外部ram接口

2.6.2 ddr sdram和fcram

2.6.3 可編程驅動能力

2.6.4 可編程上拉電阻

2.7 ieee標準1149.1 (jtag)邊界掃描支持

習題

第3章 基於quartus ii的fpga設計方法

3.1 quartus ii軟件的設計輸入

3.1.1 文本編輯器

3.1.2 模塊和符號編輯器

3.1.3 megawizard插件管理器

3.1.4 quartus ii支持的其他設計輸入

3.2 quartus ii軟件的設計約束

3.2.1 分配編輯器

3.2.2 引腳規劃器

3.2.3 settings對話框

3.2.4 分配設計分區

3.2.5 導入分配

3.2.6 驗證引腳分配

3.3 quartus ii軟件的設計綜閤

3.3.1 analysis & synthesis功能選項設置

3.3.2 查看綜閤結果

3.3.3 漸進式綜閤

3.4 布局布綫

3.4.1 布局布綫設置

3.4.2 查看布局布綫結果

3.4.3 優化布局布綫結果

3.5 仿真

3.6 時序分析

3.6.1 標準時序分析器的使用

3.6.2 timequest時序分析

3.7 時序逼近

3.7.1 使用時序逼麵布局圖

3.7.2 使用時序優化嚮導

3.7.3 使用網錶優化實現時序逼近

3.7.4 使用logiclock區域達到時序逼近

3.7.5 使用設計空間管理器達到時序逼近

3.7.6 使用漸進式編譯達到時序逼近

3.8 功耗分析

3.8.1 使用powerplay功耗分析器分析功耗

3.8.2 使用powerplay早期功耗估算器

3.9 編程和配置

3.9.1 匯編器assembler的使用

3.9.2 使用programmer對一個或多個器件編程

3.10 調試

3.10.1 signaltap ii邏輯分析器的使用

3.10.2 使用外部邏輯分析儀

3.10.3 使用signalprobe

3.10.4 使用在係統存儲器內容編輯器

習題

第4章 vhdl硬件描述語言

4.1 基於硬件描述語言的數字電路設計方法

4.2 硬件設計語言概述

4.3 vhdl語言的基本結構

4.3.1 實體

4.3.2 結構體

4.3.3 結構體的3種子結構

4.3.4 包、庫和配置

4.4 vhdl語言要素

4.4.1 vhdl的文字規則

4.4.2 vhdl的數據對象

4.4.3 vhdl的數據類型

4.4.4 vhdl的運算操作符

4.4.5 vhdl的主要描述語句

4.5 基本邏輯電路設計

4.6 使用quartus ii的vhdl語言設計實例

4.6.1 quartus ii軟件的開發流程概述

4.6.2 quartus ii對第三方軟件的支持

4.6.3 quartus ii開發平颱的vhdl語言設計實例

習題

第5章 fpga設計實例

5.1 開發係統簡介

5.1.1 硬件符號功能說明

5.1.2 開發係統電路結構

5.1.3 其他硬件資源

5.1.4 開發係統使用前設置

5.2 原理圖輸入的簡單組閤邏輯設計

5.2.1 1位全加器設計

5.2.2 4選1數據選擇器的設計

5.3 簡單時序電路設計

5.3.1 d觸發器設計

5.3.2 具有異步清零和同步使能4位十進製加法計數器設計

5.3.3 數控分頻器的設計

5.3.4 移位運算器設計

5.4 數碼管驅動電路設計

5.4.1 7段數碼顯示譯碼器設計

5.4.2 8位數碼掃描顯示電路

5.5 復雜fpga設計

5.5.1 序列檢測器設計

5.5.2 8位十六進製頻率計設計

5.6 宏模塊設計及測試

5.6.1 dds正弦信號發生器功能

5.6.2 簡易dds正弦信號發生器設計

5.6.3 使用signaltap ii對簡易dds信號發生器實時測試

5.6.4 拓展訓練

參考文獻

作者介紹


文摘


序言



EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf mobi txt 電子書 下載 2024

EDA技術與應用(全國普通高等院校電子信息規劃教材) 下載 epub mobi pdf txt 電子書

EDA技術與應用(全國普通高等院校電子信息規劃教材) pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

EDA技術與應用(全國普通高等院校電子信息規劃教材) mobi pdf epub txt 電子書 下載 2024

EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

EDA技術與應用(全國普通高等院校電子信息規劃教材) epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有