ad holder

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf  mobi txt 电子书 下载

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf mobi txt 电子书 下载 2024

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf mobi txt 电子书 下载 2024


简体网页||繁体网页
朱正伟,王其红,韩学超 著

下载链接在页面底部


点击这里下载
    


想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-18

商品介绍



店铺: 天乐图书专营店
出版社: 清华大学出版社
ISBN:9787302312604
商品编码:29301469771
包装:平装
出版时间:2013-03-01

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf mobi txt 电子书 下载 2024



类似图书 点击查看全场最低价

相关书籍





书籍描述

基本信息

书名:EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息)

定价:39.50元

作者:朱正伟, 王其红, 韩学超

出版社:清华大学出版社

出版日期:2013-03-01

ISBN:9787302312604

字数:

页码:

版次:5

装帧:平装

开本:16开

商品重量:0.581kg

编辑推荐


内容提要


  《EDA技术及应用(第2版)》在编写时突破传统课程体系的制约,对课程体系等进行综合改革,融入了本领域*的科研与教学改革成果,确保课程的系统性与先进性,使之能更好地适应21世纪人才培养模式的需要。教材的主要特点有:①创新性。本教材突破传统的VHDL语言教学模式和流程,将普遍认为较难学习的VHDL用全新的教学理念和编排方式给出,并与EDA工程技术有机结合,达到了良好的教学效果,同时大大缩短了授课时数。全书以数字电路设计为基点,从实例的介绍中引出VHDL语句语法内容,通过一些简单、直观、典型的实例,将VHDL中核心、基本的内容解释清楚,使读者在很短的时间内就能有效地把握VHDL的主干内容,并付诸设计实践。②系统性。本教材内容全面,注重基础,理论联系实际,并使用大量图表说明问题,编写简明精练、针对性强,设计实例都通过了编译,设计文件和参数选择都经过验证,便于读者对内容的理解和掌握。③实用性。本教材注重实用、讲述清楚、由浅入深,书中的实例具有很高的参考价值和实用价值,能够使读者掌握较多的实战技能和经验。它既可作为高等院校电气、自动化、计算机、通信、电子类专业的研究生、本科生的教材或参考书,也可供广大ASIC设计人员和电子电路设计人员阅读参考。

目录


章 eda技术概述
1.1eda技术及其发展
1.1.1eda技术含义
1.1.2eda技术的发展历程
1.1.3eda技术的基本特征
1.2eda技术的实现目标与asic设计
1.2.1eda技术的实现目标
1.2.2asic的特点与分类
1.2.3asic的设计方法
1.2.4ip核复用技术与soc设计
1.3硬件描述语言
1.3.1vhdl
1.3.2verilog hdl
1.3.3abelhdl
1.3.4vhdl和verilog hdl的比较
1.4常用eda工具
1.4.1设计输入编辑器
1.4.2综合器
1.4.3仿真器
1.4.4适配器
1.4.5编程下载
1.5eda的工程设计流程
1.5.1设计输入
1.5.2综合
1.5.3适配
1.5.4时序仿真与功能仿真
1.5.5编程下载
1.5.6硬件测试
1.6max plus ⅱ集成开发环境
1.6.1max plus ⅱ简介
1.6.2软件的安装
1.6.3软件组成
1.6.4设计流程
1.7quartus ⅱ集成开发环境
1.7.1quartus ⅱ简介
1.7.2quartus ⅱ 9.0软件的安装
1.7.3quartus ⅱ 9.0图形用户界面介绍
1.8eda技术发展趋势
思考题与习题
第2章 可编程逻辑器件
2.1可编程逻辑器件概述
2.1.1pld发展历程
2.1.2目前流行可编程器件的特点
2.1.3可编程逻辑器件的基本结构和分类
2.1.4pld相对于mcu的优势所在
2.2cpld的结构与工作原理
2.2.1cpld的基本结构
2.2.2altera公司max7000系列cpld简介
2.3fpga的结构与工作原理
2.3.1fpga的基本结构
2.3.2cyclone ⅲ系列器件的结构原理
2.4可编程逻辑器件的测试技术
2.4.1内部逻辑测试
2.4.2jtag边界扫描
2.4.3嵌入式逻辑分析仪
2.5cpld/fpga的编程与配置
2.5.1cpld在系统编程
2.5.2fpga配置方式
2.5.3fpga专用配置器件
2.5.4使用单片机配置fpga
2.5.5使用cpld配置fpga
2.6cpld/fpga开发应用选择
思考题与习题
第3章 原理图输入设计方法
3.1原理图设计方法
3.1.1内附逻辑函数
3.1.2编辑规则
3.1.3原理图编辑工具
3.1.4原理图编辑流程
3.1.5设计项目的处理
3.1.6设计项目的校验
3.1.7器件编程
3.21位全加器设计
3.2.1建立文件夹
3.2.2输入设计项目和存盘
3.2.3将设计项目设置成工程文件
3.2.4选择目标器件并编译
3.2.5时序仿真
3.2.6引脚锁定
3.2.7编程下载
3.2.8设计顶层文件
3.3数字电子钟设计
3.3.1六十进制计数器设计
3.3.2十二进制计数器设计
3.3.3数字电子钟顶层电路设计
3.4利用lpm兆功能块的电路设计
3.4.1常用lpm兆功能块
3.4.2基于lpm_counter的数据分频器设计
3.4.3制作一个兆功能模块
3.5波形输入设计
3.5.1创建波形设计新文件并指定工程名称
3.5.2创建输入、输出和隐埋节点
3.5.3编辑隐埋状态机节点波形
3.5.4编辑输入和输出节点波形
3.5.5查看波形情况
3.5.6保存文件并检查错误
3.5.7创建默认的功能模块
思考题与习题
第4章 vhdl设计初步
4.1概述
4.1.1常用硬件描述语言简介
4.1.2vhdl的特点
4.1.3vhdl程序设计约定
4.2vhdl语言的基本单元及其构成
4.2.12选1多路选择器的vhdl描述
4.2.2vhdl程序的基本结构
4.2.3实体
4.2.4结构体
4.3vhdl文本输入设计方法初步
4.3.1项目建立与vhdl源文件输入
4.3.2将当前设计设定为工程
4.3.3选择vhdl文本编译版本号和排错
4.3.4时序仿真
4.4vhdl程序设计举例
4.4.1d触发器的vhdl描述
4.4.21位二进制全加器的vhdl描述
4.4.34位加法计数器的vhdl描述
思考题与习题
第5章 vhdl设计进阶
5.1vhdl语言要素
5.1.1vhdl文字规则
5.1.2vhdl数据对象
5.1.3vhdl数据类型
5.1.4vhdl操作符
5.2vhdl顺序语句
5.2.1赋值语句
5.2.2转向控制语句
5.2.3wait语句
5.2.4子程序调用语句
5.2.5返回语句
5.2.6null语句
5.2.7其他语句
5.3vhdl并行语句
5.3.1进程语句
5.3.2并行信号赋值语句
5.3.3块语句
5.3.4并行过程调用语句
5.3.5元件例化语句
5.3.6生成语句
5.4子程序
5.4.1函数
5.4.2重载函数
5.4.3过程
5.4.4重载过程
5.5库、程序包及其配置
5.5.1库
5.5.2程序包
5.5.3配置
5.6vhdl描述风格
5.6.1行为描述
5.6.2数据流描述
5.6.3结构描述
5.7常用单元的设计举例
5.7.1组合逻辑电路设计
5.7.2时序逻辑电路设计
5.8vhdl与原理图混合设计方式
5.8.14位二进制计数器的vhdl设计
5.8.2七段显示译码器的vhdl设计
5.8.3顶层文件原理图设计
5.8.4查看工程的层次结构
思考题与习题
第6章 有限状态机设计
6.1概述
6.1.1关于状态机
6.1.2状态机的特点
6.1.3状态机的基本结构和功能
6.2一般有限状态机的设计
6.2.1一般有限状态机的组成
6.2.2设计实例
6.3moore型状态机的设计
6.3.1多进程moore型有限状态机
6.3.2用时钟同步输出的moore型有限状态机
6.4mealy型有限状态机的设计
6.4.1多进程mealy型有限状态机
6.4.2用时钟同步输出信号的mealy型状态机
6.5状态编码
6.5.1状态位直接输出型编码
6.5.2顺序编码
6.5.3一位热码编码
6.6状态机剩余状态处理
思考题与习题
第7章 quartus ⅱ工具应用初步
7.1quartus ⅱ一般设计流程
7.2quartus ⅱ设计实例
7.2.1实例设计说明
7.2.2模块的层次划分
7.2.3创建工程
7.2.4建立设计输入文件
7.2.5分析综合
7.2.6布局布线
7.2.7建立约束重编译
7.2.8仿真
7.2.9编程及配置
7.2.10signaltap ⅱ逻辑分析仪实时测试
第8章 数字电子系统设计实践
8.1移位相加8位硬件乘法器设计
8.1.1硬件乘法器的功能
8.1.2硬件乘法器的设计思路
8.1.3硬件乘法器的设计
8.1.4硬件乘法器的波形仿真
8.2十字路口交通管理器设计
8.2.1交通管理器的功能
8.2.2交通管理器的设计思路
8.2.3交通管理器的设计
8.2.4交通管理器的波形仿真
8.3可编程定时/计数器设计
8.3.1可编程定时/计数器的功能
8.3.2可编程定时/计数器的设计思路
8.3.3可编程定时/计数器的设计
8.3.4可编程定时/计数器的波形仿真
8.4智能函数发生器设计
8.4.1智能函数发生器的功能
8.4.2智能函数发生器的设计思路
8.4.3智能函数发生器各模块设计
8.4.4智能函数发生器的波形仿真
8.5数据采集系统设计
8.5.1数据采集系统的功能
8.5.2数据采集系统的设计思路
8.5.3数据采集系统各模块设计
8.5.4数据采集系统的波形仿真
8.6乒乓游戏机设计
8.6.1乒乓游戏机的功能
8.6.2乒乓游戏机的设计思路
8.6.3乒乓游戏机各模块设计
8.6.4乒乓游戏机的波形仿真
8.7数字频率计设计
8.7.1数字频率计的功能
8.7.2数字频率计的设计思路
8.7.3数字频率计各模块的设计和实现
8.7.4数字频率计的综合设计
8.7.5数字频率计的波形仿真
8.83层电梯控制器设计
8.8.13层电梯控制器的功能
8.8.23层电梯控制器的设计思路
8.8.33层电梯控制器的综合设计
8.8.43层电梯控制器的波形仿真
8.9计算器设计
8.9.1计算器的功能
8.9.2计算器的设计思路
8.9.3计算器各模块的设计和实现
8.9.4计算器的综合设计
8.9.5计算器的波形仿真
8.10健身游戏机设计
8.10.1健身游戏机的功能
8.10.2健身游戏机的设计思路
8.10.3健身游戏机的综合设计
8.10.4健身游戏机的波形仿真
8.11crc校验设计
8.11.1crc校验编码原理
8.11.2crc校验设计实例
8.12线性时不变fir滤波器设计
8.12.1线性时不变滤波器原理
8.12.2线性时不变滤波器设计流程
8.12.3线性时不变滤波器设计实例
参考文献

作者介绍


文摘


序言



EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf mobi txt 电子书 下载 2024

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 下载 epub mobi pdf txt 电子书 2024

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 pdf 下载 mobi 下载 pub 下载 txt 电子书 下载 2024

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 mobi pdf epub txt 电子书 下载 2024

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf mobi txt 电子书 下载
想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

读者评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf mobi txt 电子书 下载 2024

类似图书 点击查看全场最低价

EDA技术及应用(第2版)(21世纪高等学校规划教材 电子信息) 朱正伟, 王其红, 韩学 epub pdf mobi txt 电子书 下载 2024


分享链接









相关书籍


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.tinynews.org All Rights Reserved. 静思书屋 版权所有