高频CMOS模拟集成电路基础 epub pdf  mobi txt 电子书 下载

高频CMOS模拟集成电路基础 epub pdf mobi txt 电子书 下载 2024

高频CMOS模拟集成电路基础 epub pdf mobi txt 电子书 下载 2024


简体网页||繁体网页
Duran Leblebici 著

下载链接在页面底部


点击这里下载
    


想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-05-02

商品介绍



店铺: 欣欣佳和图书专营店
出版社: 科学出版社
ISBN:9787030315199
商品编码:29250622205
包装:平装
出版时间:2011-06-01

高频CMOS模拟集成电路基础 epub pdf mobi txt 电子书 下载 2024



类似图书 点击查看全场最低价

相关书籍





书籍描述

基本信息

书名:高频CMOS模拟集成电路基础

定价:60.00元

作者:Duran Leblebici

出版社:科学出版社

出版日期:2011-06-01

ISBN:9787030315199

字数:

页码:

版次:1

装帧:平装

开本:16开

商品重量:0.481kg

编辑推荐


莱布莱比吉编著的《高频CMOS模拟集成电路基础(影印版)》是“国外电子信息精品著作”系列之一,系统地介绍了高频集成电路体系的构建与运行,重点讲解了晶体管级电路的工作体系,设备性能影响及伴随响应,以及时域和频域上的输入输出特性。

内容提要


莱布莱比吉编著的《高频CMOS模拟集成电路基础(影印版)》以设计为核心理念从基础模拟电路讲述到射频集成电路的研发。系统地介绍了高频集成电路体系的构建与运行,重点讲解了晶体管级电路的工作体系,设备性能影响及伴随响应,以及时域和频域上的输入输出特性。
《高频CMOS模拟集成电路基础(影印版)》适合电子信息专业的高年级本科生及研究生作为RFCMOS电路设计相关课程的教材使用,也适合模拟电路及射频电路工程师作为参考使用。

目录


Preface1 Components of analog CMOS ICs 1.1 MOS transistors 1.1.1 Current-voltage relations of MOS transistors 1.1.1.1 The basic current-voltage relations without velocitysaturation 1.1.1.2 Current-voltage relations under velocity saturation 1.1.1.3 The sub-threshold regime 1.1.2 Determination of model parameters and related secondaryeffects 1.1.2.1 Mobility 1.1.2.2 Gate capacitance 1.1.2.3 Threshold voltage 1.1.2.4 Channel length modulation factor 1.1.2.5 Gate length (L) and gate width (W) 1.1.3 Parasitics of MOS transistors 1.1.3.1 Parasitic capacitances 1.1.3.2 The high-frequency figure of merit 1.1.3.3 The parasitic resistances 1.2 Passive on-chip ponents 1.2.1 On-chip resistors 1.2.2 On-chip capacitors 1.2.2.1 Passive on-chip capacitors 1.2.2.2 Varactors 1.2.3 On-chip inductors2 Basic MOS amplifiers: DC and low-frequency behavior 2.1 Common source (grounded source) amplifier 2.1.1 Biasing 2.1.2 The small-signal equivalent circuit 2.2 Active transistor loaded MOS amplifier(CMOS inverter asanalog amplifier) 2.3 Common-gate (grounded-gate) amplifier 2.4 Common-drain amplifier (source follower) 2.5 The long tailed pair 2.5.1 The large signal behavior of the long tailed pair 2.5.2 Common-mode feedback3 High-frequency behavior of basic amplifiers 3.1 High-frequency behavior of a mon-source amplifier 3.1.1 The R-C load case 3.2 The source follower amplifier at radio frequencies 3.3 The mon-gate amplifier at high frequencies 3.4 The cascode amplifier 3.5 The CMOS inverter as a transimpedance amplifier 3.6 MOS transistor with source degeneration at high frequencies 3.7 High-frequency behavior of differential amplifiers 3.7.1 The R-C loaded long tailed pair 3.7.2 The fully differential, current-mirror loaded amplifier 3.7.3 Frequency response of a single-ended output long tailedpair 3.7.4 On the input and output admittances of the long tailedpair 3.8 Gain enhancement techniques for high-frequency amplifiers 3.8.1 Additive approach: distributed amplifiers 3.8.2 Cascading strategies for basic gain stages 3.8.3 An example: the Cherry-Hooper amplifier4 Frequency-selective RF circuits 4.1 Resonance circuits 4.1.1 The parallel resonance circuit 4.1.1.1 The quality factor of a resonance circuit 4.1.1.2 The quality factor from a different point of view 4.1.1.3 The Q enhancement 4.1.1.4 Bandwidth of a parallel resonance circuit 4.1.1.5 Currents of L and C branches of a parallel resonancecircuit 4.1.2 The series resonance circuit 4.1.2.1 Component voltages in a series resonance circuit 4.2 Tuned amplifiers 4.2.1 The mon-sot/rce tuned amplifier 4.2.2 Thi tuned cascode amplifier 4.3 Cascaded tuned stages and the staggered tuning 4.4 Amplifiers loaded with coupled resonance circuits 4.4.1 Magic coupling 4.4.2 Capacitive coupling 4.5 The gyrator: a valuable tool to realize high-value on-chipinductances 4.5.1 Parasitics of a non-ideal gyrator 4.5.2 Dynamic range of a gyrat0r-based inductor 4.6 The low-noise amplifier (LNA) 4.6.1 Input impedance matching 4.6.2 Basic circuits suitable for LNAs 4.6.3 Noise in amplifiers 4.6.3.1 Thermal noise of a resistor 4.6.3.2 Thermal noise of a MOS transistor 4.6.4 Noise in LNAs 4.6.5 The differential LNA5 L-C oscillators 5.1 The negative resistance approach to L-C oscillators 5.2 The feedback approach to L-C oscillators 5.3 Frequency stability of L-C oscillators 5.3.1 Crystal oscillators 5.3.2 The phase-lock technique 5.3.3 Phase noise in oscillators6 Analog-digital interface and system-level design considerations 6.1 General observations 6.2 Discrete-time sampling 6.3 Influence of sampling clock jitter 6.4 Quantization noise 6.5 Converter specifications 6.5.1 Static specifications 6.5.2 Frequency-domain dynamic specifications 6.6 Additional observations on noise in high-frequency ICsAppendix A Mobility degradation due to the transversal fieldAppendix B Characteristic curves and parameters of AMS 0.35 micronNMOS and PMOS transistorsAppendix C BSIM3-v3 parameters of AMS 0.35 micron NMOS and PMOStransistorsAppendix D Current sources and current mirrors D.1 DC current sources D.2 Frequency characteristics of basic current mirrors D.2.1 Frequency characteristics for normal saturation D.2.2 Frequency characteristics under velocity saturationReferencesIndex

作者介绍


文摘


序言



高频CMOS模拟集成电路基础 epub pdf mobi txt 电子书 下载 2024

高频CMOS模拟集成电路基础 下载 epub mobi pdf txt 电子书 2024

高频CMOS模拟集成电路基础 pdf 下载 mobi 下载 pub 下载 txt 电子书 下载 2024

高频CMOS模拟集成电路基础 mobi pdf epub txt 电子书 下载 2024

高频CMOS模拟集成电路基础 epub pdf mobi txt 电子书 下载
想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

读者评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

高频CMOS模拟集成电路基础 epub pdf mobi txt 电子书 下载 2024

类似图书 点击查看全场最低价

高频CMOS模拟集成电路基础 epub pdf mobi txt 电子书 下载 2024


分享链接









相关书籍


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.tinynews.org All Rights Reserved. 静思书屋 版权所有