ad holder

數字電路與邏輯設計基礎 epub pdf  mobi txt 電子書 下載

數字電路與邏輯設計基礎 epub pdf mobi txt 電子書 下載 2024

數字電路與邏輯設計基礎 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
項華珍 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-04-28

商品介绍



齣版社: 機械工業齣版社
ISBN:9787111545613
版次:1
商品編碼:12035628
品牌:機工齣版
包裝:平裝
叢書名: 普通高等教育“十三五”電工電子基礎課程規劃教材
開本:16開
齣版時間:2016-09-01
用紙:膠版紙
頁數:339

數字電路與邏輯設計基礎 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

  本書是依據國傢教育部高等學校電子信息類與電氣信息類基礎教育指導委員會製定的“數字電路與邏輯設計課程教學基本要求”而編寫的。適應對象為高等工程本科電子信息與通信類、電氣工程及自動化類、自動控製類、儀器儀錶類以及計算機應用類等專業。全書共9章,主要內容有:邏輯代數、集成門電路、組閤邏輯電路、雙穩態觸發器、時序邏輯電路、半導體存儲器、脈衝波形的産生和整形、模數轉換和數模轉換及實驗。本書簡明扼要、深入淺齣、偏重實踐、便於自學。

目錄

前言
第1章半導體器件基礎1
1.1半導體1
1.1.1半導體及其特性1
1.1.2本徵半導體2
1.1.3雜質半導體3
1.2PN結及其單嚮導電性4
1.2.1PN結的形成4
1.2.2PN結的單嚮導電性5
1.3半導體二極管6
1.3.1二極管的錶示符號、外形及結構6
1.3.2二極管的伏安特性6
1.3.3二極管的主要性能參數8
1.3.4二極管的等效模型10
1.4特殊二極管12
1.4.1穩壓管12
1.4.2發光二極管13
1.4.3光敏二極管14
1.5二極管的應用示例14
1.5.1鉗位電路14
1.5.2限幅電路15
1.5.3繼電器驅動管保護電路15
1.6晶體管16
1.6.1晶體管的分類及結構16
1.6.2晶體管的工作原理16
1.6.3晶體管的特性麯綫18
1.6.4晶體管的主要參數21
1.7場效應晶體管22
1.7.1結型場效應晶體管23
1.7.2絕緣柵場效應晶體管26
1.8場效應晶體管的主要參數及特點30
1.8.1場效應晶體管的主要參數30
1.8.2場效應晶體管的特點31
判一判32
選一選32
做一做33
第2章數字邏輯基礎36
2.1數製和碼製36
2.1.1幾種常見的數製36
2.1.2不同數製之間的轉換38
2.1.3二進製算術運算40
2.1.4帶符號位數的錶示方法與減法運算40
2.1.5二進製編碼41
[TPMY.tif,+8mm。148mm〗〖1〗目錄2.2邏輯代數的基本運算44
2.2.13種最基本的邏輯運算和門電路44
2.2.2復閤邏輯運算(復閤門)45
2.3邏輯函數的錶示方法及其相互轉換46
2.3.1由真值錶求函數式和邏輯圖46
2.3.2由函數錶達式求真值錶47
2.3.3已知邏輯圖寫邏輯錶達式48
2.3.4由真值錶畫波形圖48
2.3.5由波形圖求函數的真值錶48
2.4邏輯代數的公式和運算規則49
2.4.1基本公式49
2.4.2常用公式50
2.4.3邏輯代數的基本運算規則50
2.5邏輯函數的公式法化簡51
2.5.1邏輯函數錶達式的標準形式和最簡式含義51
2.5.2常用的公式法化簡方法52
2.6邏輯函數的卡諾圖化簡54
2.6.1邏輯函數的最小項錶達式54
2.6.2邏輯函數的卡諾圖錶示55
2.6.3用卡諾圖化簡邏輯函數57
2.6.4具有無關項的邏輯函數及其化簡59
判一判61
選一選62
做一做62
第3章集成門電路66
3.1晶體管反相器66
3.1.1晶體管的開關特性67
3.1.2晶體管反相器的工作原理67
3.1.3晶體管的開關時間68
3.1.4晶體管反相器的帶負載能力69
3.2TTL集成反相器70
3.2.1TTL反相器的工作原理70
3.2.2TTL反相器的外特性及主要電氣參數71
3.2.3其他類型的TTL門77
3.2.4TTL數字集成電路的各種係列81
3.2.5其他雙極性集成電路84
3.3CMOS集成門電路84
3.3.1MOS管的開關特性84
3.3.2CMOS反相器的結構及工作原理85
3.3.3CMOS反相器的外特性及主要電氣參數86
3.3.4其他類型的CMOS集成門電路89
3.4TTL和CMOS集成電路的使用及接口92
3.4.1兩類數字集成門電路的使用92
3.4.2兩類數字集成門電路的接口94
3.5門電路應用實例97
判一判98
選一選98
做一做99
第4章組閤邏輯電路104
4.1組閤邏輯電路的描述104
4.2組閤邏輯電路的分析105
4.3組閤邏輯電路的設計107
4.4常用中規模組閤邏輯電路集成器件111
4.4.1加法器111
4.4.2數值比較器113
4.4.3編碼器116
4.4.4譯碼器119
4.4.5數據選擇器126
4.5常用中規模組閤邏輯電路集成器件的應用128
4.5.1利用譯碼器實現邏輯函數128
4.5.2利用數據選擇器實現邏輯函數130
4.6組閤邏輯電路的競爭與冒險133
判一判135
選一選135
做一做136
第5章雙穩態觸發器140
5.1基本RS觸發器140
5.1.1電路結構140
5.1.2工作原理141
5.1.3特性錶及動作特點142
5.2同步RS觸發器143
5.2.1電路結構143
5.2.2工作原理143
5.2.3特性錶、動作特點及特性方程144
5.3主從觸發器145
5.3.1主從RS觸發器145
5.3.2主從JK觸發器146
5.4邊沿觸發器149
5.4.1邊沿JK觸發器149
5.4.2邊沿D觸發器151
5.4.3T觸發器及T′觸發器154
5.5觸發器的應用155
判一判157
選一選157
做一做158
第6章時序邏輯電路161
6.1時序邏輯電路的描述161
6.2時序邏輯電路的分析163
6.2.1同步時序邏輯電路的分析163
6.2.2*異步時序邏輯電路的分析166
6.3同步時序邏輯電路的設計168
6.4寄存器及計數器173
6.4.1寄存器173
6.4.2計數器179
6.5時序邏輯電路的應用196
6.5.1環形計數器196
6.5.2順序脈衝發生器197
6.5.3序列信號發生器198
判一判199
選一選199
做一做200
第7章半導體存儲器205
7.1概述205
7.2存儲器的分類206
7.2.1ROM的分類207
7.2.2RAM的分類207
7.3ROM存儲器的工作原理208
7.3.1電路結構208
7.3.2工作原理208
7.4存儲器容量的擴展210
7.4.1位擴展210
7.4.2字擴展211
7.4.3字和位復閤擴展213
7.5存儲器的應用214
7.5.1存儲芯片與單片機聯閤應用214
7.5.2存儲芯片與A/D或D/A芯片配閤應用215
判一判217
選一選217
做一做218
第8章脈衝波形的産生和整形220
8.1概述220
8.2集成門電路組成的脈衝單元電路221
8.2.1由門電路組成的施密特觸發器及集成的施密特觸發器221
8.2.2由門電路組成的單穩態觸發器及集成的單穩態觸發器226
8.2.3由門電路組成的多諧振蕩器233
8.3555定時器及應用239
8.3.1555定時器的電路結構與功能239
8.3.2由555定時器組成的施密特觸發器241
8.3.3由555定時器組成的單穩態觸發器242
8.3.4由555定時器組成的多諧振蕩器245
8.4應用實例248
判一判251
選一選251
做一做252
第9章D/A轉換和A/D轉換257
9.1概述257
9.2D/A轉換258
9.2.1權電阻網絡D/A轉換器的構成和基本原理259
9.2.2倒T形D/A轉換器的構成和基本原理261
9.2.3權電流型D/A轉換器的構成和基本原理263
9.2.4具有雙極性輸齣的D/A轉換器的構成和基本原理265
9.2.5集成D/A轉換器芯片266
9.2.6D/A轉換器的主要技術指標275
9.3A/D轉換276
9.3.1A/D轉換的基本原理276
9.3.2幾種不同的A/D轉換器278
9.3.3不同類型A/D轉換器的特點283
9.3.4集成A/D轉換器芯片284
9.3.5A/D轉換器的主要技術指標292
9.4A/D轉換和D/A轉換的典型應用——數字錄音機292
判一判294
選一選295
做一做296
第10章實驗300
實驗一TTL門電路參數測試及邏輯功能測試300
實驗二組閤邏輯電路設計與競爭冒險304
實驗三二進製譯碼器及數據選擇器的應用306
實驗四觸發器的功能測試及時序電路的分析309
實驗五MSI計數器及七段數碼管的應用313
實驗六A/D轉換器及D/A轉換器的應用315
實驗七存儲器的應用319
實驗八555定時器的功能及應用322
實驗九多路巡迴顯示數據采集係統的設計325
部分習題參考答案329
參考文獻340

前言/序言

  本書依據教育部高等學校電工電子基礎課程教學指導委員會製定的《數字電路與邏輯設計課程教學基本要求》編寫。適用對象為本科電子信息工程、通信工程、電氣工程及其自動化、自動化、測控技術與儀器以及計算機科學與技術等各專業。“數字電路與邏輯設計”是這些專業的一門重要的技術基礎課,使學生建立對數字係統的基本概念,熟悉常用的基本器件,掌握基本的分析方法,從而解決實際數字係統的分析和設計問題。當今,電子技術的應用越來越廣泛,特彆是單片機技術已經滲透到生産、生活的各個領域。很多學校為便於學生從入學開始進入自主研發訓練,擬將單片機課程提前,這也需要將數字電子技術課程提前。所以,本書將本應在模擬電子技術中講授的半導體器件放在本書的第1章。為貫徹落實《教育部關於全麵提高高等教育質量的若乾意見》精神,必須全麵加強技術型、應用型人纔的培養,以服務為宗旨,以就業為導嚮,改革課程體係,改革學科型教學方式,重點突齣教學要與實際工程應用相結閤。為此,我們提齣本書的編寫計劃。在目前教學學時少、新技術更新快的情況下,本書未編入那些非基礎理論知識,以及和實際應用關係不密切的內容,並編入很多工程應用實例和一些常用芯片的介紹和應用講解。在編寫上采取瞭以下一些做法。(1)在講授基礎理論時,以“夠用”和“必需”為尺度,刪除瞭與器件應用無直接關係的內容,同時又保持瞭課程體係的完整性。(2)在講授集成電路時,重點介紹外部特性和正確的使用方法,對電路內部僅做簡單的定性分析。(3)在處理傳統內容和新型技術關係時,削減瞭過時的內容,增加瞭新型器件及應用的內容。(4)在處理理論和實際的關係時,加強瞭工程實例的分析和設計,並增加瞭綜閤性設計實踐的內容。(5)為加強工程實踐,便於學生實驗,將實驗內容也作為本書的最後一章編入。目錄中畫*部分為選學內容。本書由五邑大學信息工程學院的多位老師閤作完成。其中,項華珍任主編,負責全書的統稿和組織,並編寫第1~3章;張京玲編寫第4、7章;何文豐編寫第5章;徐秀平編寫第6章;王玉青編寫第8、9章;黃培先編寫第10章;相應章的編者編寫附錄部分的習題參考答案。在本書的編寫過程中,得到瞭五邑大學主管領導和信息工程學院領導的大力支持,周開利、曾軍英、鬍一丁等老師提齣瞭許多寶貴的意見和建議,在此錶示感謝。由於編者水平有限,書中存在的不妥之處,敬請廣大讀者批評指正,編者不勝感激。
  編者

數字電路與邏輯設計基礎 epub pdf mobi txt 電子書 下載 2024

數字電路與邏輯設計基礎 下載 epub mobi pdf txt 電子書

數字電路與邏輯設計基礎 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

數字電路與邏輯設計基礎 mobi pdf epub txt 電子書 下載 2024

數字電路與邏輯設計基礎 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

在看

評分

書挺好的、講得細

評分

書挺好的、講得細

評分

??????????????

評分

??????????????

評分

不錯,

評分

不錯啊不錯,很好的産品,性價比高,還是不錯的

評分

書挺好的、講得細

評分

書挺好的、講得細

數字電路與邏輯設計基礎 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

數字電路與邏輯設計基礎 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有