集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf  mobi txt 電子書 下載

集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf mobi txt 電子書 下載 2024

集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
葉以正,來逢昌 著,http://book.erp.jd.com/book/showBookCommon.action?wid=12033878#tabs-editdesc 編纂

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-06

商品介绍



齣版社: 清華大學齣版社
ISBN:9787302447184
版次:2
商品編碼:12033878
包裝:平裝
叢書名: 電子信息學科基礎課程係列教材
開本:16開
齣版時間:2016-09-01
用紙:膠版紙
頁數:448
字數:656000
正文語種:中文

集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

編輯推薦

  教育部高等學校電工電子基礎課程教學指導委員會推薦教材
  普通高等教育“十一五”國傢級規劃教材
  本書涵蓋瞭集成電路發展的曆史、現狀和趨勢,全麵介紹瞭集成電路的分類及特點,便於讀者掌握集成電路相關知識。本書深入淺齣、圖文並茂,包括瞭集成電路相關原理和工藝的基礎知識,便於讀者學習和掌握集成電路設計的理論和方法。本書涵蓋知識麵廣、係統性強,可供微電子專業本科學生、相關專業研究生及工程技術人員學習和參考

內容簡介

  本書比較全麵深入地介紹瞭集成電路分析與設計的基礎知識以及一些新技術的發展。其中,第1~4章介紹集成電路的發展、基本製造工藝、常用器件的結構及其寄生效應、版圖設計基礎知識、器件模型及SPICE模擬程序;第5~7章介紹雙極型和CMOS型兩大類數字集成電路和模擬集成電路基本單元分析與設計方法及其版圖設計特點;第8~10章介紹數字集成電路自動化設計技術、測試技術、SoC/IP設計與驗證技術及其發展趨勢。本書可以作為高等院校電子信息類本科生教材,也可作為相關領域研究生及工程師的參考用書。

作者簡介

  葉以正,哈爾濱工業大學教授、博士生導師,長期從事微電子科學與技術方嚮的教學與科研工作。1998年組建瞭“哈工大微電子學與固體電子學”學科博士點,指導瞭一批集成電路方嚮的博士和碩士研究生,講授過多門專業課程,發錶學術論文150餘篇。在“集成電路設計和電子設計自動化”(EDA)領域取得多項科研成果,所主持的科研項目曾獲國傢科技進步奬和省、部級科技進步奬多項。先後獲省“優秀專傢”、***級和部級“中青年有突齣貢獻專傢”、全國“三八”紅旗手等稱號。

  來逢昌,哈爾濱工業大學副教授,長期從事集成電路設計方麵的教學和科研工作。主講“集成電路設計”課程,開設瞭集成電路生産社戲和集成電路課程設計的實踐教學環節。在科研和開發工作中主持完成瞭多款集成電路芯片的設計,科研成果獲省部級科學進步奬多項。

內頁插圖

目錄

第1章緒論
1.1集成電路的誕生和發展
1.2集成電路分類
1.3集成電路産業鏈
1.4集成電路設計與EDA技術
1.4.1集成電路設計
1.4.2集成電路設計自動化技術的發展
第2章集成電路工程基礎
2.1平麵工藝基礎
2.1.1薄膜的製備
2.1.2光刻工藝和技術
2.1.3摻雜技術
2.2集成電路製造基本工藝流程
2.2.1雙極型集成電路製造工藝流程
2.2.2CMOS集成電路製造工藝流程
2.2.3Bi�睠MOS集成電路製造工藝簡介
2.3集成電路中的元件
2.3.1NPN晶體管及其寄生效應
2.3.2PNP晶體管及其寄生效應
2.3.3MOS晶體管及其寄生效應
2.3.4小尺寸MOS器件凸顯的問題與按比例縮小理論
2.3.5集成電路中的二極管
2.3.6集成電路中的電阻器
2.3.7集成電路中的電容器
2.3.8集成電路中的電感器
2.4集成電路版圖設計基礎
2.4.1版圖設計規則
2.4.2版圖布局
2.4.3版圖布綫
2.4.4版圖驗證與數據提交
2.4.5版圖基本優化設計技術
第3章集成電路器件模型
3.1二極管模型
3.1.1直流模型
3.1.2大信號模型
3.1.3小信號模型
3.1.4PN結二極管溫度效應
3.2雙極型晶體管模型
3.2.1EM模型
3.2.2GP模型
3.3MOS場效應晶體管模型
3.3.1MOSFET電流方程模型
3.3.2MOSFET大信號模型
3.3.3MOSFET小信號模型
3.3.4MOSFET二階及高階效應模型
3.4噪聲模型
3.4.1噪聲源類型
3.4.2集成電路器件噪聲模型
第4章SPICE模擬程序
4.1SPICE簡介
4.2SPICE電路描述語句
4.2.1電路輸入語句和格式
4.2.2SPICE的輸齣語句和輸齣變量
4.3SPICE電路分析功能介紹
4.3.1直流分析
4.3.2交流小信號分析
4.3.3瞬態分析
4.3.4傅裏葉分析
4.3.5通用參數掃描分析
4.3.6濛特卡羅分析
4.3.7最壞情況分析
4.3.8溫度分析
4.3.9噪聲分析
4.3.10其他常用的控製命令
第5章雙極型數字集成電路
5.1簡易TTL與非門
5.1.1工作原理
5.1.2電壓傳輸特性與抗乾擾能力
5.1.3負載能力
5.1.4瞬態特性
5.1.5電路功耗
5.1.6多發射極輸入晶體管設計
5.2TTL與非門的改進形式
5.2.1三管單元TTL與非門
5.2.2四管單元TTL與非門
5.2.3五管單元TTL與非門
5.2.4六管單元TTL與非門
5.2.5肖特基晶體管和STTL與非門
5.2.6LSTTL和ALSTTL與非門
5.3TTL與非門的邏輯擴展
5.3.1TTL基本門電路
5.3.2TTL OC門電路
5.3.3TTL三態門電路
5.3.4TTL施密特邏輯門電路
5.3.5TTL觸發器電路
5.4TTL中大規模集成電路
5.4.1中大規模集成電路的結構特點
5.4.2TTL簡化邏輯門
5.4.3單管邏輯門電路
5.4.4內部簡化觸發器
5.5TTL集成電路版圖解析
5.5.1TTL與非門版圖解析
5.5.2LSTTL或門版圖解析
5.6ECL集成電路
5.6.1ECL基本門的工作原理
5.6.2ECL電路的邏輯擴展
5.6.3ECL電路版圖設計特點
5.7I2L集成電路
5.7.1I2L基本單元的工作原理
5.7.2I2L電路的邏輯組閤
5.7.3I2L電路版圖設計特點
第6章CMOS數字集成電路設計
6.1CMOS反相器
6.1.1工作原理
6.1.2直流傳輸特性與噪聲容限
6.1.3瞬態特性
6.1.4功耗特性
6.2傳輸門
6.2.1單溝MOS傳輸門
6.2.2CMOS傳輸門
6.3CMOS基本邏輯電路
6.3.1標準CMOS靜態邏輯門
6.3.2僞NMOS邏輯與差分級聯電壓開關邏輯
6.3.3CMOS三態門
6.3.4傳輸門邏輯和差動傳輸管邏輯
6.3.5CMOS動態邏輯
6.4CMOS觸發器
6.4.1R�睸觸發器
6.4.2D觸發器
6.4.3CMOS施密特觸發器
6.5加法器電路
6.5.1全加器和半加器
6.5.2逐位進位加法器
6.5.3進位選擇加法器
6.5.4超前進位加法器
6.6MOS存儲器
6.6.1存儲器概述
6.6.2MASK ROM
6.6.3可擦寫ROM
6.6.4隨機存取存儲器
6.6.5按內容尋址存儲器
6.7CMOS集成電路版圖設計特點
6.7.1抗閂鎖設計
6.7.2抗靜電設計
6.8集成電路實現方法
6.8.1全定製設計方法
6.8.2門陣列設計方法
6.8.3標準單元設計方法
6.8.4積木塊設計方法
6.8.5可編程邏輯器件方法
第7章模擬集成電路設計
7.1概述
7.2電流鏡
7.2.1基本MOS電流鏡
7.2.2共源共柵電流鏡
7.2.3雙極型電流鏡
7.3基準源
7.3.1電壓基準源
7.3.2電流基準源
7.4CMOS單級放大器
7.4.1共源極放大器
7.4.2共漏極放大器
7.4.3共柵極放大器
7.4.4共源共柵極放大器
7.4.5四種典型結構的特點歸納
7.5雙極型單級放大器
7.5.1共射極放大器
7.5.2共集極放大器
7.5.3共基極放大器
7.6差動放大器
7.6.1差動工作方式
7.6.2基本差動對
7.6.3共模響應
7.7放大器的頻率特性
7.7.1密勒效應
7.7.2共源極的頻率特性
7.7.3共漏極的頻率特性
7.7.4共柵極的頻率特性
7.7.5共源共柵極的頻率特性
7.7.6差動放大器的頻率特性
7.8噪聲
7.8.1噪聲有關特性
7.8.2電路中的噪聲計算
7.9運算放大器及頻率補償
7.9.1性能參數
7.9.2一級運放
7.9.3兩級運放
7.9.4反饋及頻率補償
7.10比較器
7.10.1比較器的特性
7.10.2比較器的類型
7.10.3高速比較器的設計
7.11開關電容電路
7.11.1基本開關電容
7.11.2基本單元
7.11.3開關電容濾波器
7.12數據轉換電路
7.12.1數模轉換器
7.12.2模數轉換器
7.13模擬電路的版圖設計特點
7.13.1晶體管
7.13.2對稱性
7.13.3無源器件
7.13.4噪聲問題
第8章數字集成電路自動化設計
8.1數字集成電路設計方法學概述
8.1.1層次化設計方法
8.1.2電子設計自動化設計流程
8.2Verilog硬件描述語言
8.2.1Verilog HDL基礎
8.2.2Verilog HDL門級建模
8.2.3Verilog HDL數據流建模
8.2.4Verilog HDL行為級建模
8.2.5Verilog HDL層次式建模
8.3設計綜閤
8.3.1行為綜閤
8.3.2邏輯綜閤
8.3.3版圖綜閤
8.4設計驗證
8.4.1設計驗證的基本內容
8.4.2功能驗證概述
8.4.3基於模擬的驗證
8.4.4時序驗證概述
第9章集成電路的測試技術
9.1故障模型
9.1.1固定型故障
9.1.2橋接故障
9.1.3延遲故障
9.1.4IDDQ故障
9.2測試嚮量生成
9.2.1異或法
9.2.2布爾差分法
9.2.3單路徑敏化法
9.2.4D算法
9.2.5FAN算法
9.3可測性設計
9.3.1專用可測性設計技術
9.3.2掃描測試技術
9.3.3內建自測試技術
9.3.4邊界掃描技術
9.4係統芯片的測試結構及標準
9.4.1SoC測試結構
9.4.2內核測試標準IEEE 1500
第10章SoC設計概論
10.1SoC簡介
10.1.1SoC概述
10.1.2SoC結構
10.1.3SoC的技術特點
10.2SoC設計方法學
10.2.1SoC設計流程
10.2.2基於平颱的SoC設計方法
10.2.3SoC設計自動化技術的發展
10.3IP核的設計和復用
10.3.1IP核的幾種形態
10.3.2IP核設計和復用技術
10.4SoC/IP驗證技術
10.4.1SoC驗證的特點
10.4.2SoC驗證方法學
10.5基於片上網絡互連的多核SoC
10.5.1MPSoC簡介
10.5.2MPSoC片上通信結構的發展
10.5.3片上網絡技術
10.6SoC技術的發展
10.6.1SoC技術發展趨勢
10.6.2納米工藝製程中CMOS器件技術的發展
10.6.3納米級集成電路材料和工藝設備的發展
參考文獻


集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf mobi txt 電子書 下載 2024

集成電路設計(第2版)/電子信息學科基礎課程係列教材 下載 epub mobi pdf txt 電子書

集成電路設計(第2版)/電子信息學科基礎課程係列教材 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

集成電路設計(第2版)/電子信息學科基礎課程係列教材 mobi pdf epub txt 電子書 下載 2024

集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

集成電路設計(第2版)/電子信息學科基礎課程係列教材 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有