數字電子技術基礎 epub pdf  mobi txt 電子書 下載

數字電子技術基礎 epub pdf mobi txt 電子書 下載 2024

數字電子技術基礎 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
張俊濤 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-18

商品介绍



齣版社: 電子工業齣版社
ISBN:9787121303074
版次:1
商品編碼:12022451
包裝:平裝
開本:16開
齣版時間:2017-01-01
用紙:膠版紙
頁數:348
字數:553600
正文語種:中文

數字電子技術基礎 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

本教材針對職教師資本科專業學生,以培養學生利用所學知識解決實際問題,提高應用能力為目標,采用理論教學與設計項目相結閤的編排方式,在介紹數字器件的原理與功能的同時,注重器件的外特性及應用。為突齣教材的針對性和實用性,本教材在例題的編排上舉一反三,在設計項目上由淺入深、循序漸進,及時應用學到的器件,注重於學生係統設計能力的培養。

作者簡介

張俊濤,陝西科技大學教授,中國電子學會會員,陝西省電子學會會員。主持陝西省科技廳項目1項,教育廳項目1項。《電子技術》獲陝西省精品課程,參與三項校級教改項目,獲一等奬一項,二等奬兩項。

目錄

目 錄
第一篇 數字電路理論基礎
第1章 緒論 (3)
1.1 數字信號與數字電路 (3)
1.2 數製 (4)
1.2.1 十進製 (4)
1.2.2 二進製 (5)
1.2.3 十六進製 (5)
1.2.4 不同進製間的轉換 (6)
1.3 二進製補碼 (8)
1.4 編碼 (10)
1.4.1 BCD碼 (10)
1.4.2 循環碼 (11)
1.4.3 ASCII碼 (12)
習題 (12)
第2章 邏輯代數基礎 (14)
2.1 邏輯運算 (14)
2.1.1 與邏輯 (14)
2.1.2 或邏輯 (15)
2.1.3 非邏輯 (15)
2.1.4 兩種復閤運算 (16)
2.1.5 兩種特殊運算 (17)
2.2 邏輯代數中的公式 (18)
2.2.1 基本公式 (18)
2.2.2 常用公式 (19)
2.2.3 關於異或運算的公式 (20)
2.3 邏輯代數中的三種規則 (21)
2.3.1 代入規則 (21)
2.3.2 反演規則 (21)
2.3.3 對偶規則 (22)
2.4 邏輯函數的錶示方法 (22)
2.4.1 真值錶 (23)
2.4.2 邏輯函數錶達式 (23)
2.4.3 邏輯圖 (23)
2.5 邏輯函數錶示方法的相互轉換 (24)
2.5.1 根據函數錶達式畫齣邏輯圖 (24)
2.5.2 從邏輯圖寫齣函數錶達式 (24)
2.5.3 從函數錶達式列齣真值錶 (25)
2.5.4 從真值錶寫齣函數錶達式 (25)
2.6 邏輯函數的標準形式 (26)
2.6.1 標準與或式 (27)
2.6.2 標準或與式 (27)
2.7 邏輯函數的化簡 (28)
2.8 無關項在邏輯設計中的應用 (34)
習題 (37)
第二篇 數字集成電路
第3章 基本門電路 (41)
3.1 分立元件門電路 (42)
3.1.1 二極管與門 (43)
3.1.2 二極管或門 (43)
3.1.3 三極管非門 (44)
3.2 CMOS集成門電路 (46)
3.2.1 CMOS反相器 (46)
3.2.2 其他CMOS邏輯門 (53)
3.2.3 兩種特殊門電路 (55)
3.2.4 CMOS傳輸門 (59)
3.3 設計項目 (61)
習題 (62)
第4章 常用組閤邏輯器件 (65)
4.1 組閤邏輯電路概述 (65)
4.2 組閤邏輯電路的分析與設計方法 (66)
4.2.1 組閤邏輯電路的設計方法 (66)
4.2.2 組閤邏輯電路的分析方法 (69)
4.3 編碼器 (71)
4.4 譯碼器 (74)
4.5 數據選擇器與數據分配器 (81)
4.6 加法器 (86)
4.7 數值比較器 (91)
4.7.1 一位數值比較器 (91)
4.7.2 多位數值比較器 (92)
4.8 設計項目 (94)
習題 (95)
第5章 鎖存器與觸發器 (98)
5.1 基本鎖存器及其描述方法 (98)
5.2 鍾控鎖存器 (102)
5.3 脈衝觸發器 (104)
5.4 邊沿觸發器 (108)
5.5 觸發器的邏輯功能與動作特點 (110)
5.6 設計項目 (111)
習題 (112)
第6章 常用時序邏輯器件 (116)
6.1 時序電路的結構和分類 (116)
6.2 時序邏輯電路的功能描述 (118)
6.3 時序電路的分析與設計方法 (120)
6.3.1 同步時序電路的分析方法 (120)
6.3.2 同步時序電路的設計方法 (123)
6.4 寄存器與移位寄存器 (131)
6.4.1 寄存器 (131)
6.4.2 移位寄存器 (133)
6.5 計數器 (137)
6.5.1 同步計數器設計 (137)
6.5.2 異步計數器簡介 (148)
6.5.3 其他進製計數器 (151)
6.5.4 兩種特殊的計數器 (156)
6.6 典型時序邏輯單元電路 (159)
6.6.1 順序脈衝發生器 (160)
6.6.2 序列信號産生器 (161)
6.7 設計項目 (165)
6.7.1 交通燈控製器設計 (165)
6.7.2 簡易頻率計設計 (168)
習題 (169)
第7章 存儲器 (173)
7.1 ROM (173)
7.2 RAM (177)
7.2.1 靜態RAM (177)
7.2.2 動態RAM (178)
7.3 存儲器容量的擴展 (179)
7.4 基於ROM的組閤電路設計 (180)
7.5 設計項目 (181)
7.5.1 DDS信號源設計 (181)
7.5.2 LED點陣控製電路設計 (184)
習題 (186)
第8章 脈衝電路 (188)
8.1 描述脈衝的主要參數 (188)
8.2 555定時器 (189)
8.2.1 施密特電路 (190)
8.2.2 單穩態電路 (194)
8.2.3 多諧振蕩器 (198)
8.3 設計項目 (202)
習題 (203)
第9章 數模與模數轉換 (206)
9.1 數模轉換器 (206)
9.1.1 權電阻網絡DAC (206)
9.1.2 R-2R網絡DAC (208)
9.1.3 D/A轉換器主要描述參數 (211)
9.2 模數轉換器 (212)
9.2.1 采樣-保持電路 (212)
9.2.2 量化與編碼電路 (213)
9.2.3 並聯比較型ADC (214)
9.2.4 反饋比較型ADC (216)
9.2.5 雙積分型ADC (219)
9.2.6 A/D轉換器的性能指標 (221)
9.3 設計實踐 (222)
9.3.1 可編程增益放大器設計 (222)
9.3.2 數控直流穩壓電源設計 (223)
9.3.3 溫度測量電路設計 (225)
習題 (226)
第三篇 數字設計新技術
第10章 EDA技術簡介 (229)
10.1 PLD的發展曆史 (229)
10.2 硬件描述語言 (230)
10.2.1 Verilog HDL (230)
10.2.2 VHDL (231)
10.2.3 System Verilog和SystemC (232)
10.3 EDA工具軟件 (233)
10.3.1 集成開發環境 (233)
10.3.2 仿真軟件 (233)
10.3.3 綜閤軟件 (234)
10.4 基於EDA技術的設計方法 (234)
第11章 Verilog硬件描述語言 (236)
11.1 Verilog HDL基本結構 (236)
11.1.1 簡單示例 (236)
11.1.2 模塊的基本結構 (237)
11.2 三種描述方法 (241)
11.2.1 行為描述 (241)
11.2.2 數據流描述 (249)
11.2.3 結構描述 (249)
11.2.4 混閤描述 (252)
11.3 層次化設計方法 (253)
11.4 Verilog HDL語法 (254)
11.4.1 基本語法 (254)
11.4.2 數據類型 (257)
11.4.3 參數定義 (262)
11.4.4 運算符與錶達式 (262)
11.5 Test Bench測試程序 (268)
第12章 常用數字器件的描述 (271)
12.1 組閤邏輯電路的描述 (271)
12.1.1 基本門電路 (271)
12.1.2 編碼器 (271)
12.1.3 譯碼器 (273)
12.1.4 顯示譯碼器 (274)
12.1.5 數據選擇器 (275)
12.1.6 數值比較器 (276)
12.1.7 三態緩衝器 (276)
12.1.8 奇偶校驗器 (277)
12.2 時序邏輯電路描述 (278)
12.2.1 鎖存器與觸發器 (278)
12.2.2 計數器 (281)
12.2.3 分頻器 (283)
12.3 存儲器設計 (284)
12.4 設計項目 (285)
12.4.1 100MHz頻率計的設計 (285)
12.4.2 正弦波信號源設計 (288)
12.4.3 VGA顯示控製電路設計 (291)
第13章 有限狀態機設計 (298)
13.1 有限狀態機設計方法 (298)
13.2 狀態編碼 (300)
13.3 狀態機設計示例 (300)
13.4 設計項目 (303)
13.4.1 逐次漸近式A/D轉換器的設計 (303)
13.4.2 交通燈控製器設計 (306)
13.4.3 等精度頻率計的設計 (308)
附錄A 門電路邏輯符號對照錶 (313)
附錄B 常用數字集成電路引腳速查 (314)
附錄C 常用集成電路索引 (316)
參考文獻 (331)

前言/序言

本教材是作者承擔的教育部、財政部《職教師資本科專業培養標準、培養方案、核心課程和特色教材開發》(電子信息工程專業,VTNE021)的成果之一,該項目以培養“專業性、師範性、職業性”三性融閤、能適應職教師資需求的復閤人纔為目標,在廣泛調研論證的基礎上,製定瞭相應的教師標準、教師培養標準、教師評價方案、核心教材和數字化資源等,在項目研究過程中,得到瞭教育部職教師資培養資源開發項目專傢指導委員會各位專傢的精心指導和項目管理辦公室的大力幫助。

在多年的教學實踐中,作者深切地感覺到必須適應社會對高等教育的要求,改變電子技術理論課程的教學方式,以提高學生應用能力為目標,能夠從係統的角度分析問題,設計電路,真正地提高實踐能力。雖然作者具有二十多年的電子技術教學經驗,又主講EDA課程十多年,同時指導大學生電子設計競賽、EDA電子設計專題、模擬及模數混閤應用電路競賽十多屆,但就如何能夠突齣職業教育的特點,在教材的架構上、內容側重點的選取和設計項目的選用方麵思考良久。考慮到“數字電子技術”課程基礎性的特點,教材還是采用較為傳統的編排方式,采用理論教學與設計項目相結閤的編排方式,在介紹器件的功能與設計原理的同時,注重器件的外特性及應用實例。為瞭突齣教材的針對性和實用性,在多數章末都附有典型的設計項目,由淺入深,舉一反三,注重係統觀點的培養和設計能力的提高。

教材分為三篇共13章。第一篇是數字電路理論基礎,分為2章,主要介紹數字電路的基本概念、數製與編碼、數字電路分析與設計的工具—邏輯代數。第二篇介紹數字集成電路,分為7章,以原理為主綫,以器件為目標,介紹基本門電路、常用組閤邏輯電路、時序邏輯電路、存儲器、整形電路和A/D、D/A轉換器,並在章末附有典型設計項目,以便能及時學以緻用。第三篇介紹數字設計新技術,分為4章,介紹EDA的概念、Verilog 硬件描述語言、常用數字器件的描述和有限狀態機設計方法,並配閤設計項目,以便能夠快速掌握數字係統設計新技術。

教材的編寫力求突齣三個特點:

(1)精簡。以職教師資專業教育特點為導嚮,以專業教學能力形成為核心,注重原理設計,簡化內部電路分析,突齣器件的功能和應用。

(2)完整。數字電路中的每種類型器件在設計中都可能要用到,因此組織教學內容時,在厘清概念、熟悉原理的基礎上,注重教材的完整性。

(3)實用。為瞭學以緻用,教材編寫時通過大量典型的設計項目,由淺入深,循序漸進,培養學生的係統思維和設計能力。

全書由張俊濤主編,陳曉莉繪製瞭教材中的多數插圖,在此錶示感謝。

本教材可采用少學時和多學時兩種教學模式,少學時隻講第一篇和第二篇,已經涵蓋瞭數字電路的經典內容;多學時再介紹第三篇—數字設計新技術,進一步提高係統設計能力,也可以作為學生自學資料,以拓展視野。



數字電子技術基礎 epub pdf mobi txt 電子書 下載 2024

數字電子技術基礎 下載 epub mobi pdf txt 電子書

數字電子技術基礎 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

數字電子技術基礎 mobi pdf epub txt 電子書 下載 2024

數字電子技術基礎 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

數字電子技術基礎 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

數字電子技術基礎 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有