數字電子技術基礎(第3版) epub pdf  mobi txt 電子書 下載

數字電子技術基礎(第3版) epub pdf mobi txt 電子書 下載 2024

數字電子技術基礎(第3版) epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
成立,王振宇 編

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-14

商品介绍



齣版社: 機械工業齣版社
ISBN:9787111526476
版次:3
商品編碼:11878416
品牌:機工齣版
包裝:平裝
叢書名: 普通高等教育“十一五”國傢級規劃教材
開本:16開
齣版時間:2016-02-01
用紙:膠版紙
頁數:342

數字電子技術基礎(第3版) epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

  此次修訂根據國傢教育部2004年頒發的“電子技術基礎課程(數字部分)”的基本要求,綜閤編者36年以來的教學經驗,本著對電子信息類課程教改的思路和負責任的態度進行編寫。書中內容以數字集成電路為主,采取重點突齣、難點分散和理論聯係實際的方法。全書擬分9章(詳見後麵的編書目錄),每章均有適量的例題和習題,對第2版教材的部分習題作齣切閤教學實際的修訂。

目錄

第3版前言第2版前言第1版前言第1章數字電路基礎1引言11.1數字信號與數字電路 11.1.1模擬信號和數字信號 1*1.1.2數字技術的發展及其應用 21.1.3數字集成電路的特點及其分類 41.1.4數字電路的分析方法 61.2數製與編碼 61.2.1常用的計數製及其相互轉換規律 71.2.2編碼 81.3邏輯代數基礎 91.3.1邏輯代數的3種基本運算 91.3.2邏輯代數的基本公式和常用公式 121.3.3邏輯代數的基本規則 131.4邏輯函數的建立及其錶示方法 131.5邏輯函數的化簡 141.5.1邏輯函數的最簡形式 141.5.2邏輯函數的公式化簡法 151.5.3用卡諾圖化簡邏輯函數 151.6具有無關項邏輯函數的化簡 191.7數字電路中的半導體器件 201.7.1本徵半導體 201.7.2雜質半導體 211.7.3PN結及其單嚮導電性 221.7.4半導體二極管 241.7.5雙極型晶體管 271.7.6增強型絕緣柵場效應晶體管 34習題1 37第2章集成邏輯門電路 40引言 402.1基本邏輯門電路 402.1.1二極管與門及或門電路 402.1.2非門電路(BJT反相器) 412.2CMOS邏輯門電路 422.2.1CMOS反相器 422.2.2常用的CMOS門電路 442.2.3CMOS傳輸門和雙嚮模擬開關 452.2.4CMOS漏極開路門及三態門 462.2.5CMOS三態門的應用 482.2.6CMOS邏輯門的主要技術參數 492.3TTL邏輯門電路 522.3.1TTL與非門電路結構和工作原理 522.3.2TTL或非門 552.3.3TTL係列門電路的技術參數 552.3.4TTL集電極開路門和三態門 58*2.4射極耦閤邏輯門電路(ECL門) 622.5BiCMOS門電路 642.5.1BiCMOS反相器 642.5.2其他的BiCMOS門電路 652.6邏輯門電路使用中的幾個問題 652.6.1正負邏輯問題 652.6.2實際使用邏輯門的處理措施 662.6.3邏輯門電路綜閤分析例 67習題2 69第3章組閤邏輯電路 76引言 763.1組閤邏輯電路概述 763.2組閤邏輯電路的分析方法 763.2.1分析組閤邏輯電路的大緻步驟 763.2.2幾種常用的集成組閤邏輯電路 773.3組閤邏輯電路設計 823.3.1概述 823.3.2組閤邏輯電路的設計方法 823.4用小規模集成電路(SSI)設計組閤邏輯電路 833.4.1設計組閤邏輯電路的大緻步驟 833.4.2組閤邏輯電路設計舉例 833.4.3編碼器 843.4.4譯碼器 883.4.5數值比較器 96*3.5組閤邏輯電路中的競爭-冒險 983.5.1産生競爭-冒險的原因 993.5.2消除競爭-冒險的方法 1003.6用MSI芯片設計其他的組閤邏輯電路 1003.6.1用集成數據選擇器實現其他組閤邏輯功能 1013.6.2用譯碼器實現多種組閤邏輯功能 1043.6.3用全加器實現多種組閤邏輯功能 1063.7組閤邏輯電路綜閤應用例 108習題3 110第4章鎖存器和觸發器 116引言 1164.1概述 1164.2基本SR鎖存器 1164.2.1用與非門構成的基本SR鎖存器 1174.2.2用或非門構成的基本SR鎖存器 1194.2.3集成基本SR鎖存器 1194.3時鍾觸發器 1214.3.1門控SR鎖存器 1214.3.2主從觸發器 1234.3.3幾種常用的邊沿觸發器 1264.4T觸發器和T′觸發器 1344.4.1T觸發器 1344.4.2T′觸發器 1344.5觸發器應用舉例 134習題4 136第5章時序邏輯電路 142引言 1425.1概述 1425.2時序邏輯電路的分析方法 1435.2.1分析時序邏輯電路的大緻步驟 1435.2.2寄存器和移位寄存器 1435.2.3計數器 1495.3時序邏輯電路設計 1665.3.13種設計方法 1665.3.2一般同步時序邏輯電路的設計方法 1665.4MSI時序邏輯器件的應用 1775.4.1MSI計數器芯片的應用 1775.4.2MSI寄存器芯片的應用 184習題5 186第6章半導體存儲器和可編程邏輯器件 193引言 1936.1半導體存儲器 1936.1.1半導體存儲器的特點 1936.1.2半導體存儲器的分類 1936.1.3半導體存儲器的主要技術指標 1946.2隨機存取存儲器(RAM) 1946.2.1RAM的結構 1946.2.2RAM的存儲單元 1966.3隻讀存儲器(ROM) 1996.3.1ROM的結構 1996.3.2掩模式隻讀存儲器(固定ROM) 2006.3.3可編程隻讀存儲器(PROM) 2026.3.4可擦除可編程隻讀存儲器(EPROM) 2036.4存儲器容量的擴展 2076.5可編程邏輯器件(PLD) 2096.5.1PLD概述 2096.5.2可編程陣列邏輯(PAL) 2126.5.3通用陣列邏輯(GAL) 2156.5.4復雜可編程邏輯器件(CPLD) 2206.5.5現場可編程門陣列(FPGA) 2256.5.6在係統可編程邏輯器件(ISP-PLD) 232*6.5.7可編程邏輯器件的開發技術簡介 235習題6236第7章數-模與模-數轉換器 238引言 2387.1D-A轉換器 2387.1.1D-A轉換器及其主要參數 2387.1.2權電流型D-A轉換器 2407.1.3倒T形電阻網絡D-A轉換器 2407.1.4模擬電子開關 2417.2A-D轉換器 2447.2.1A-D轉換的一般工作過程 2447.2.2並行比較型A-D轉換器 2467.2.3逐次逼近型A-D轉換器 248*7.2.4雙積分式A-D轉換器 2517.2.5A-D轉換器主要技術指標 253*7.2.6集成A-D轉換器及其應用 254習題7 257第8章脈衝波形的産生與變換 260引言 2608.1實際的矩形波電壓及其參數 2608.2集成555定時器 2618.2.1集成555定時器簡介 2618.2.2集成定時器CC7555的內部邏輯電路 2618.2.3CC7555的工作原理 2628.3施密特觸發器 2638.3.1用555定時器構成施密特觸發器 2638.3.2集成施密特觸發器 265*8.3.3用TTL門組成施密特觸發器 2668.4單穩態觸發器 2688.4.1555定時器構成單穩態觸發器 2698.4.2集成單穩態觸發器 271*8.4.3用門電路組成的積分型單穩態觸發器 2738.5多諧振蕩器 2758.5.1555定時器構成多諧振蕩器 2758.5.2石英晶體振蕩器 2788.6脈衝信號産生與變換電路綜閤應用舉例 280習題8 286第9章數字電路虛擬實驗與數字係統設計基礎291引言 2919.1Multisim10.0使用方法簡介 2919.1.1數字電路模擬用虛擬儀錶介紹 2919.1.2放置元件的方法 2969.1.3連綫操作 2969.1.4基本數字電路分析與設計舉例 2989.2數字係統設計基礎 3059.2.1用EDA設計數字係統的一般流程 3069.2.2硬件描述語言Verilog HDL簡介 3069.2.3Verilog HDL的基本元素 3079.2.4Verilog HDL的語法結構 3099.2.5Verilog HDL描述數字邏輯電路例 3179.2.6用ModelSim軟件仿真數字係統 321習題9 325附錄 326附錄A美國標準信息交換碼(ASCII) 326附錄B二進製數算術運算 326B.1二進製數加法 326B.2二進製數減法 327B.3二進製數乘法 327B.4二進製數除法 327B.5用帶符號位的二進製數實現減法運算 327B.5.1帶符號位的二進製數327B.5.2補碼的概念327B.5.3二進製數的模2補碼及減法運算328附錄C國內外常用邏輯符號對照錶 328附錄DTTL和CMOS邏輯門電路主要技術參數 330附錄E二進製邏輯單元圖形符號簡介(GB/T 4728.12—2008) 331E.1二進製邏輯單元圖形符號的組成 331E.1.1方框331E.1.2限定符號331E.2邏輯狀態及其約定 333E.2.1內部邏輯狀態和外部邏輯狀態333E.2.2邏輯狀態和邏輯電平之間的關係334附錄F國産半導體集成電路型號命名法(GB3430—1989) 334F.1型號的組成 335F.2示例 335附錄G常用ADC和DAC芯片簡介 336附錄H電阻器型號、名稱和標稱係列 337H.1電阻器型號名稱對照 337H.2電阻器(電位器)標稱係列及其誤差 338部分習題答案 339參考文獻 343

前言/序言

  第3版前言  《數字電子技術(第2版)》自2008年12月齣版、使用以來,至今已有7年的時間。在此7年的時間內,教材曾5次印刷,印數超過20000冊,深受廣大師生的喜愛。此外,第2版教材作為江蘇省精品課程“模擬和數字電子技術”的支撐材料,2010年以來相繼獲得瞭江蘇大學教學成果奬、江蘇省教學成果奬,2009年被評為普通高等教育“十一五”國傢級規劃教材,2014年被評選為“十二五”江蘇省高等學校重點教材建設項目。對此,編者深受激勵和鼓舞,倍感修訂第3版教材所肩負的重任。  首先,該版教材基於“數字電子技術”在電氣、電子信息類和機電、測控類專業技術基礎課程中的地位和作用,在原書名後增加瞭“基礎”兩個字,突齣瞭技術基礎課程教科書的屬性。其次,編者根據電氣、電子信息類和機電、測控類專業基礎課程應完成的教學任務和原版教材的使用情況,本著修訂版應滿足21世紀數字電子技術快速發展的要求,經過參編教師多次討論,逐步形成瞭以下的修訂原則:  (1)根據30多年來積纍的教學經驗,精益求精,適度更新內容,打造優質精品教材。第3版教材在培養學生運用所學知識分析問題、解決問題、實驗動手的能力和設計技能以及實行啓發式、互動式教學和精講多練等方麵下瞭功夫。教材在調整並更新內容時,立足於電子創新設計活動和數字集成電路(IC)的應用;教學內容重點轉移,難點分散,注重知識性與實用性的結閤;做到授課學時數與重點、難點講授章節相對應;例題和習題閤理選配,章後習題與學習指導及題解書彼此呼應,方便於教學和學生設計時用書。  (2)現代數字電路和數字係統已經基本不用中、小規模集成芯片搭建,而是采用CPLD或FPGA實現,甚至將數字係統集成在片上係統(SoC)上。但在設計數字電路以及用CPLD或FPGA實現時,又離不開邏輯門電路、組閤和時序邏輯電路等中、小規模集成芯片。所以,第3版教材在精煉並弱化中、小規模集成芯片的同時,將門電路、組閤和時序邏輯電路等作為宏模型介紹,著重介紹它們的外部邏輯功能,適當增加大規模集成電路應用技術的內容,例如重新編寫瞭第6��5節可編程邏輯器件(PLD),包括CPLD、FPGA和ISP-PLD等芯片的應用知識,改編瞭第9章數字電路虛擬實驗與數字係統設計基礎等內容。  (3)因為CMOS集成電路業已成為數字電路的主流産品,且便攜式設備、消費性電子産品的電源電壓越來越低,緻使低電壓、超低電壓集成電路的廣泛使用。基於此,教材在講解順序、應用層次和題目選配上都突齣瞭CMOS集成芯片的內容介紹,同時部分削減瞭TTL係列産品的內容。例如第2章采用瞭“先CMOS後TTL電路”的寫法,還在第2、3、4、5、6、8等章節中增加瞭CMOS芯片介紹和應用題的比例。  (4)第3版教材寫進瞭介紹教師科研成果、指導畢業設計和課程設計、指導大學生科研立項課題和賽前指導電子設計活動的內容,編入瞭反映電子技術領域新技術、新進展、集成電路新品研發的章節內容,例如寫進數字技術的發展及其應用、新型的BiCMOS邏輯門電路、新穎的集成555定時器綜閤應用題等,做到科技活動反哺於教學,教學又反過來推進學生開展科研活動,力求做到舉一反三、融會貫通,最大限度地調動師生的教學熱情。  (5)將廣泛查閱、並經過比對的數字電路應用題和設計題寫入教材,每一章都選配有靈活、新穎的練習題。全書重新篩選瞭例題和章後習題,特彆是選擇題和應用題,並配套新編瞭《數字電子技術基礎學習指導與習題解答》一書。  (6)凡是打“*”的章節和練習題可作為選講或自學內容。這部分內容提供給兄弟院校參考。  全書修訂(編寫)分工如下:江蘇大學成立、王振宇擔任主編,成立編寫前言和第2、3章,並負責全書的統稿和定稿等工作;尹星編寫第1、9章;王振宇編寫第4、5章和部分習題答案;劉躍峰編寫第6章和附錄;陳勇編寫第7、8章。  江蘇省教育廳高等教育處委托東南大學鬍仁傑教授、哈爾濱工業大學楊春玲教授擔任主審,參加審稿的還有東南大學堵國樑教授、江蘇科技大學張尤賽教授、田雨波教授。各位教授審閱瞭全部書稿,提齣瞭許多寶貴的意見和建議,給編者以啓示,編者在此錶示衷心的感謝。  由於編者的水平有限,書中難免存在著錯誤和不妥之處,懇請讀者們予以批評指正。  編者第2版前言第2版前言“數字電子技術”是一門實踐性、應用性都很強的技術基礎課程。隨著集成電路製備技術的迅速發展,中、大規模和超大規模數字集成電路(MSI、LSI和VLSI)在各個領域廣泛應用,數字電子技術已成為21世紀數字經濟時代的強大推動力。為瞭反映數字電子技術的新發展,使教學適應“十一五”後期和“十二五”前期教學改革的需要,我們結閤20多年來的教學經驗和十多年來的教改實踐,在“數字電子技術(第1版)”的基礎上修訂齣這部教材。修訂教材的總體框架思路是:更新知識,充實內容,為創新設計和集成電路應用服務。具體考慮如下:  1�備�據2004年8月教育部高等學校電子信息科學與電氣信息類基礎課程教學指導委員會頒布的“數字電子技術基礎”課程的教學基本要求,修訂瞭第1版的第1~8章,充實瞭國內外最新的數字集成電路(IC),例如引入雙極型互補金屬氧化物半導體(BiCMOS)邏輯門電路、高速通信係統用快閃式存儲器、快閃式A-D和D-A轉換器、在係統可編程邏輯器件(ISP-PLD)和ABLE硬件描述語言等軟硬件應用知識;編寫時避開SSI和MSI數字IC芯片內部電流、電壓的計算,著重介紹其外部邏輯功能;加強瞭MSI芯片連綫應用知識的闡述和例題的選配;收集並整理瞭章後練習題,編製齣單項選擇題,作為每章基本概念和應用方法的小結;重點改寫瞭第6章“半導體存儲器和可編程邏輯器件”,新增瞭第9章“EDA軟件工具應用”,以培養學生數字電路和係統創新設計以及軟件編程工具的使用能力。  2�幣隕係髡�或充實的內容有的可作簡介,作為瞭解的知識,有的可列入選學或自學內容,也有的可重點講解;而新增的第9章可作為EDA課程設計或創新設計集訓的講授內容。  3�蔽�瞭滿足“微機原理與應用”、“單片機原理與應用”和“微機控製技術”等課程提前一個學期的教學需要,第2版教材采用“先數字後模擬”的順序,所以增加瞭1��7節:“數字電路中的半導體器件”。但對於采用“先模擬後數字”的院校,1��7節可以不在“數字電子技術”課程中講解。  4�北臼櫚鬧饕�知識點都配備有例題,為學生課後閱讀和練習提供分析問題的思路。另外,書中精選瞭一定數量的練習題以供選做,書後還給齣瞭部分習題的答案。  5�狽彩譴頡�*”的章節可作為選講或自學內容,每章打“*”的練習題也是如此。講授本教材所需的總學時數約為60,建議各章學時數分配如下:  章號12345678實驗學時966688458本書編寫分工如下:江蘇大學成立教授擔任主編,並編寫第1、2、3章和第2版前言、目錄、部分習題答案及統稿和定稿等,王振宇副教授擔任副主編,編寫第7、8、9章和附錄等,汪洋講師編寫第4、5章,楊建寜副教授參編第6章。本書由南京理工大學周連貴教授和江蘇科技大學張尤賽教授主審,兩位老師認真地審閱瞭書稿,提齣瞭許多寶貴的意見和建議,編者在此錶示衷心的感謝。  由於編者的水平有限,書中難免存在著錯誤和不當之處,懇請讀者們批評指正。  編者第1版前言本書是全國高校電子技術基礎課程協作組組織編寫的高等學校係列教材之一,也是江蘇省“十五”教育科學規劃立項課題“走嚮信息技術(IT)本位的教學改革與大學生信息素質培養”的一項研究成果。  自從20世紀70年代末以來,在國內電氣類、電子信息類和自動化類專業電子技術基礎課程方麵已經齣版瞭幾套教材,這些教材的使用範圍廣,一般已經數版修訂,深受高校工科電類專業廣大師生的歡迎,有的已榮獲國傢級奬勵或部、省級奬勵。在這種情況下,還有沒有必要於新世紀初葉在同一門課程上再編寫新的教材?如有必要,新編教材又應該具有怎樣的特色?這是兩個首先要解決的問題。  在同一門課程上,協作組認為,應該允許和鼓勵教師編

數字電子技術基礎(第3版) epub pdf mobi txt 電子書 下載 2024

數字電子技術基礎(第3版) 下載 epub mobi pdf txt 電子書

數字電子技術基礎(第3版) pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

數字電子技術基礎(第3版) mobi pdf epub txt 電子書 下載 2024

數字電子技術基礎(第3版) epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

數字電子技術基礎(第3版) epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

數字電子技術基礎(第3版) epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有