ad holder

CMOS集成电路后端设计与实战 epub pdf  mobi txt 电子书 下载

CMOS集成电路后端设计与实战 epub pdf mobi txt 电子书 下载 2024

CMOS集成电路后端设计与实战 epub pdf mobi txt 电子书 下载 2024


简体网页||繁体网页
刘峰 著

下载链接在页面底部


点击这里下载
    


想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-23

商品介绍



出版社: 机械工业出版社
ISBN:9787111514404
版次:1
商品编码:11779533
品牌:机工出版
包装:平装
丛书名: 电子与嵌入式系统设计丛书
开本:16开
出版时间:2015-09-01
用纸:胶版纸
页数:375

CMOS集成电路后端设计与实战 epub pdf mobi txt 电子书 下载 2024



类似图书 点击查看全场最低价

相关书籍





书籍描述

编辑推荐

  

作者十年磨铁之作,Intel、睿晟微电子、复旦微电子多位专家联袂推荐。
  首本由本土作者系统讲解集成电路后端设计的专著,集后端设计之大成。
  结合后端设计的主流工具,理论联系实践,极具可操作性。

集成电路后端设计涉及的环节多、学科多,不但复杂而且细分方向很多,一直是国内集成电路行业发展的瓶颈。由于后端设计需要投入的资金很大,大多数高校不具备后端设计所需要的环境,这就注定了集成电路后端设计人才难求,薪资也普遍位列IC行业之首。很多集成电路专业毕业的研究生在选择工作岗位的时候,由于对后端设计没有一个系统的认识,因此不能做出符合自己实际情况的选择。阅读本书不但能够让没有接触过后端工作的工程师和研究生对后端工作需要的技能和知识有一个系统的了解,还能结合后端设计所需要的工具进行实践,快速掌握后端设计工作的基础技能。
  
  本书特点:
  系统而且深入,既对后端设计知识的广度有足够的覆盖,同时也不乏深度和细致。
  从完整工程设计的角度出发,结合主流工具,实操性强。
  涉及的实验技术资料可以在相关EETOP【后端设计】分论坛下载。
  作者将定期在EETOP分论坛与本书读者进行互动和交流,解答读者问题。

内容简介

《CMOS集成电路后端设计与实战》详细介绍整个后端设计流程,分为概述、全定制设计、半定制设计、时序分析四大部分。本书同时基于广度和深度两个方面来阐述整个CMOS集成电路后端设计流程与设计技术,并通过实战案例进行更深入地技术应用讲解,使集成电路后端设计初学者同时得到理论与实战两方面的双重提高。


集成电路后端设计流程长、环节多,而且每个环节、每个工种都涉及非常多的背景知识和技能。为了让读者能够系统地掌握后端设计必备的基础知识,本书不仅在广度上全面覆盖集成电路后端设计的三个重要设计大方向:全定制、半定制和静态时序分析,而且在深度上覆盖了后端三大重要设计方向之间相互关联的技术点。并以此来贯穿整个后端设计流程,使读者在广度和技术点衔接两方面深入理解整个后端设计技术和流程细节。本书不拘泥于枯燥理论的灌输,把整个集成电路后端设计过程通过结合业内主流EDA设计工具和实践操作的形式进行讲解,最终以理论联系实际的方法来真正地提高读者学以致用的工程技术设计能力。本书是任何想要学习集成电路后端设计的读者必读的。

作者简介


 EETOP社区【后端设计】设计分论坛版主,拥有10年以上集成电路后端设计工程经验。目前主要从事集成电路后端设计的研究和开发工作,先后供职于多家国内外知名集成电路设计公司和科研院所,参与了多项国家863计划、核高基重大科技项目和重要的产品的研发。

目录

前言
第1章 引论 1
1.1 集成电路发展史简介 1
1.2 国内集成电路发展现状 2
1.3 国际集成电路发展趋势 4
第2章 集成电路后端设计方法 5
2.1 集成电路后端设计 5
2.2 后端全定制设计方法 5
2.2.1 后端全定制设计流程介绍 6
2.2.2 主流后端全定制设计工具介绍 6
2.2.3 后端全定制设计小结 13
2.3 后端半定制设计方法 13
2.3.1 后端半定制设计流程介绍 13
2.3.2 主流后端半定制设计工具介绍 14
2.3.3 后端半定制设计小结 21
第一部分 后端全定制设计及实战
第3章 后端全定制设计之标准单元设计技术 24
3.1 设计标准单元库的重要性 24
3.2 标准单元设计技术 25
3.2.1 标准单元的基本介绍 25
3.2.2 标准单元的基本类型 27
3.2.3 标准单元库提供的数据 29
3.2.4 标准单元设计参数 29
3.3 标准单元设计流程 39
3.3.1 方案设计 40
3.3.2 标准单元电路及版图设计 43
3.3.3 标准单元库版图和时序信息的提取 45
3.3.4 库模型与库文档生成 47
3.3.5 设计工具流程验证 48
3.3.6 测试电路设计及工艺流片验证 49
3.4 标准单元设计需要的数据 49
3.5 标准单元设计EDA工具 50
第4章 后端全定制设计之标准单元电路设计技术 51
4.1 CMOS工艺数字电路实现结构 51
4.1.1 静态电路实现结构 51
4.1.2 伪NMOS电路实现结构 52
4.1.3 传输管与传输门电路 53
4.1.4 动态电路实现结构 54
4.1.5 高扇入逻辑电路的实现结构 55
4.2 CMOS数字电路优化 60
4.3 标准单元库中几种时序单元介绍 61
4.3.1 C2MOS触发器 62
4.3.2 真单相触发器 62
4.3.3 脉冲触发器 63
4.3.4 数据流触发器 64
第5章 后端全定制设计之标准单元电路设计实战 65
5.1 电路设计流程 65
5.2 时序单元HLFF的电路设计 65
5.2.1 建立库及电路设计环境 65
5.2.2 Vituoso Schematic Composer使用基础 68
5.2.3 时序单元HLFF电路实现 69
5.2.4 时序单元HLFF电路元件的产生 70
5.2.5 时序单元HLFF电路网表输出 71
5.3 时序单元HLFF的电路仿真 72
5.3.1 设置带激励输入的仿真电路图 73
5.3.2 使用Virtuoso Spectre Circuit Simulator进行电路仿真 74
第6章 后端全定制设计之标准单元版图设计技术 80
6.1 基本CMOS工艺流程 80
6.2 基本版图层 82
6.2.1 NMOS/PMOS晶体管的版图实现 83
6.2.2 串联晶体管的版图实现 83
6.2.3 并联晶体管的版图实现 84
6.2.4 CMOS反相器的版图实现 85
6.2.5 缓冲器的版图实现 85
6.2.6 CMOS二输入与非门和或非版图实现 86
6.3 版图设计规则 87
6.4 版图设计中晶体管布局方法 93
6.4.1 基本欧拉路径法 94
6.4.2 欧拉路径法在动态电路中的应用 95
6.4.3 晶体管尺寸对版图的影响 97
6.5 标准单元版图设计的基本指导 97
6.5.1 优化设计标准单元 98
6.5.2 标准单元PIN脚的设计 100
第7章 后端全定制设计之标准单元版图设计实战 104
7.1 版图设计流程 104
7.2 时序单元HLFF版图实现 105
7.2.1 建立项目库及版图设计环境 105
7.2.2 Vituoso Layout Editor使用基础 106
7.2.3 时序单元HLFF版图实现 111
7.2.4 时序单元HLFF版图GDS输出 115
7.3 版图设计规则检查 116
7.3.1 执行版图设计规则检查 116
7.3.2 基于版图设计规则结果的调试 119
7.4 版图与电路等价性检查 120
7.4.1 执行版图与电路等价性检查 120
7.4.2 基于版图与电路等价性检查结果的调试 124
7.5 版图寄生参数提取 126
第8章 后端全定制设计之标准单元特征化技术 129
8.1 标准单元时序模型介绍 129
8.1.1 基本的时序模型归纳 129
8.1.2 时序信息建模方法 130
8.1.3 时序信息文件基本内容 131
8.2 标准单元物理格式LEF介绍 136
8.2.1 LEF文件中重要参数详细说明 136
8.2.2 LEF文件全局设置 139
8.2.3 LEF文件中工艺库物理信息设置 139
8.2.4 LEF文件中单元库物理信息设置 142
8.2.5 LEF对应的图形视图 144
第9章 后端全定制设计之标准单元特征化实战 145
9.1 时序信息提取实现 145
9.1.1 时序信息特征化的实现流程 145
9.1.2 时序信息特征化的数据准备 146
9.1.3 标准单元HLFF的时序信息特征化 149
9.1.4 SiliconSmart工具流程介绍 155
9.2 物理信息抽象化实现 155
9.2.1 物理信息抽象化实现流程 156
9.2.2 建立物理信息抽象化工作环境 156
9.2.3 标准单元HLFF的物理信息抽象化 161
9.2.4 版图抽象化后LEF数据输出 174
第二部分 后端半定制设计及实战
第10章 后端半定制设计之物理实现技术 178
10.1 半定制物理实现工程师应该具备的能力 178
10.2 半定制物理实现流程 179
10.3 半定制物理实现使用的EDA工具 181
10.4 半定制物理实现需要的数据 182
10.5 布局规划 182
10.6 电源规划 188
10.6.1 电压降与电迁移 188
10.6.2 电源规划前的功耗预估方法 193
10.6.3 电源条带的基本设置方法 194
10.6.4 电源环的基本设置方法 197
10.6.5 电源网络分析的基本方法 197
10.7 时钟树的实现 199
10.7.1 常见时钟网络的实现方法 199
10.7.2 时钟树的综合策略 201
10.7.3 时钟树的基本性能参数 202
10.7.4 时钟树的综合流程 205
10.7.5 门控时钟 209
10.7.6 时钟树优化基本指导 210
10.8 布线 214
10.8.1 天线效应 214
10.8.2 串扰噪声 220
10.8.3 数模混合信号线走线的基本方法 224
10.9 ECO 226
第11章 后端半定制设计之Open-SparcT1-FPU布局布线实战 229
11.1 布局布线的基本流程 229
11.2 布局布线工作界面介绍 230
11.3 建立布局布线工作环境 231
11.4 布局布线实现 236
11.4.1 芯片布局 236
11.4.2 电源网络实现 238
11.4.3 自动放置标准单元 244
11.4.4 时钟树综合 247
11.4.5 布线 252
11.4.6 芯片版图完整性实现 256
11.4.7 布局布线数据输出 259
第12章 后端半定制设计之Open-SparcT1-FPU电压降分析实战 262
12.1 电压降分析的基本流程 262
12.2 建立电压降分析的工作环境 262
12.3 电压降分析实现 266
12.3.1 设置电源网格库 266
12.3.2 功耗计算 269
12.3.3 电压降分析 271
第三部分 静态时序分析及实战
第13章 静态时序分析技术 278
13.1 静态时序分析介绍 278
13.1.1 静态时序分析背景 278
13.1.2 静态时序分析优缺点 279
13.2 静态时序分析基本知识 280
13.2.1 CMOS逻辑门单元时序参数 280
13.2.2 时序模型 281
13.2.3 互连线模型 282
13.2.4 时序单元相关约束 283
13.2.5 时序路径 284
13.2.6 时钟特性 287
13.2.7 时序弧 289
13.2.8 PVT环境 292
13.3 串扰噪声 293
13.3.1 串扰噪声恶化原因 293
13.3.2 串扰噪声的体现形式 294
13.3.3 串扰噪声相互作用形式 295
13.3.4 时间窗口 296
13.4 时序约束 298
13.4.1 时钟约束 298
13.4.2 I/O延时约束 308
13.4.3 I/O环境建模约束 309
13.4.4 时序例外 311
13.4.5 恒定状态约束 315
13.4.6 屏蔽时序弧 316
13.4.7 时序设计规则约束 317
13.5 静态时序分析基本方法 318
13.5.1 时序图 318
13.5.2 时序分析策略 320
13.5.3 时序路径延时的计算方法 321
13.5.4 时序路径的分析方法 323
13.5.5 时序路径分析模式 327
第14章 静态时序分析实战 339
14.1 静态时序分析基本流程 339
14.2 建立静态时序分析工作环境 339
14.3 静态时序分析实现 343
14.3.1 建立时间分析 344
14.3.2 保持时间分析 360
14.3.3 时序设计规则分析 369
14.3.4 时序违反修复 371
参考文献 374












前言/序言

  在当今信息化的社会中,集成电路已成为各行各业实现信息化、智能化的基础。无论是在军事还是民用上,它已起着不可替代的作用。集成电路产业是全球范围内的核心高科技产业之一,具有战略性和市场性双重特性。在国防和国家安全领域,集成电路起着维护国家利益,捍卫国家主权的关键作用;在经济建设和增强综合国力的过程中,集成电路又是核心竞争力的具体表现。自20世纪中期以来,集成电路产业遵循摩尔定律飞速发展。集成电路产业的兴起奠定了现代信息技术的基石,现代信息技术正迅速地改变世界人们的生活方式,没有半导体技术突飞猛进的发展就没有信息技术日新月异的变化。
  集成电路后端设计技术是集成电路设计中的关键技术,宇航级、军用级和高性能级芯片都需要先进的后端设计技术来支撑。目前我国集成电路后端设计能力远落后于国外发达国家,而且高端的后端设计技术基本被少数几个发达国家的集成电路设计公司所垄断,因此发展和提高我国集成电路后端设计能力具有打破国外技术垄断和封锁的重要意义。
  本书主要内容全书共有14章,第1~2章概述集成电路发展状况及后端设计方法,第3~14章讲解集成电路后端设计技术。
  第1章简要介绍集成电路发展状况和重要性,使读者对集成电路行业有一个初步的了解。
  第2章简要介绍集成电路后端设计方法和业界主流的后端设计工具,使读者对后端设计有广度上的认识。
  第一部分 后端全定制设计及实战第3章讲解后端全定制设计之标准单元设计技术的理论知识。标准单元库是集成电路设计的基础,它的质量和性能对集成电路设计至关重要。具备自行设计标准单元库的后端设计能力能够大大提升集成电路的设计性能并对特殊需求的设计进行灵活优化。
  第4章讲解后端全定制设计之标准单元电路设计技术。在CMOS工艺下,一个给定的逻辑功能可以通过多种电路结构来实现。该章将介绍几种比较常见的数字电路实现结构,使读者学习标准单元电路设计的设计方法。
  第5章讲解后端全定制设计之标准单元电路设计实战。该章将讲解基于AMD公司的全定制时序单元HLFF的电路设计过程,使读者学习标准单元电路在实际工程应用中的基本设计技术。
  第6章讲解后端全定制设计之标准单元版图设计技术。在完成单元电路的设计之后,就进入版图设计阶段。不管对于数字集成电路设计还是模拟混合集成电路设计,版图设计都是必不可少的重要设计环节。
  第7章讲解后端全定制设计之标准单元版图设计实战。该章将介绍基于AMD公司的全定制时序单元HLFF的版图设计过程,该HLFF单元的版图设计环境基于TSMC130的制造工艺要求,使读者学习标准单元版图在实际工程应用中的基本设计技术。
  第8章讲解后端全定制设计之标准单元特征化技术。对一个复杂芯片中的每一个模块,不论是简单的标准单元(如NAND、NOR等),还是复杂的定制设计模块(如RAM或处理器核等)都需要一个时序模型。
  第9章讲解后端全定制设计之标准单元特征化实战。在设计完成标准单元的原理图和版图后,时序分析工具需要读取该单元的时序信息,比如综合工具需要知道单元的逻辑功能、单元实际的输入负载电容、在不同输入斜率和输出负载情况下单元的延时和功耗、单元的面积等,单元时序信息特征化就是用模拟仿真器来提取标准单元以上信息的过程。通过时序信息特征化提供单元的时序数据给多种时序分析工具使用。
  第二部分 后端半定制设计及实战第10章讲解后端半定制设计之物理实现技术。当ASIC设计完成前端逻辑综合并生成了门级网表后,接下来的任务就是门级网表的物理实现,即把门级网表转换成版图(Layout),这个过程通常称为半定制后端设计。在半定制设计流程中,数字后端是指自动布局布线(Auto Place and Route,APR)物理实现。
  第11章讲解后端半定制设计之OpenSparcT1-FPU布局布线实战。该章基于OpenSparcT1里浮点处理器单元(Floating-point Processor Unit,FPU)的物理实现来讲解布局布线设计过程,使读者学习半定制设计中布局布线在实际工程应用中的基本设计技术。
  第12章讲解后端半定制设计之OpenSparcT1-FPU电压降分析实战。该章基于OpenSparcT1里浮点计算单元部件(Floating-point processor unit,FPU)的物理实现结果讲解电压降分析过程,使读者学习半定制设计中电压降分析在实际工程中的应用。
  第三部分 静态时序分析及实战第13章讲解静态时序分析技术。随着芯片尺寸的减小和集成度密集化的增强、电路设计复杂度的增加、电路性能要求的提高,它们都对芯片内的时序分析提出了更高的要求。静态时序分析是大规模集成电路设计中非常重要的一个环节,它能验证设计在时序上的正确性,并决定设计是否能够在要求的工作频率下运行。
  第14章讲解静态时序分析实战。该章基于OpenSparcT1里浮点计算单元部件(Floating-point processor unit,FPU)的物理实现来讲解静态时序分析过程,使读者学习静态时序分析在实际工程中的应用。
  最后,非常感谢我的导师张民选教授和项目指导老师李少青研究员传授我集成电路设计知识,同时感谢icdream论坛模拟部件设计板块版主吴占托先生为本书实战素材的运行环境提供的大力支持。在本书的编写过程中,得到了机械工业出版社华章分社的大力支持,在此,对他们表示诚挚的感谢。
  集成电路设计领域的研究发展迅速,对许多问题作者并未作深入研究,一些有价值的新内容也来不及收入本书,加上作者知识水平和实践经验有限,书中难免存在不足之处,敬请读者批评指正。





CMOS集成电路后端设计与实战 epub pdf mobi txt 电子书 下载 2024

CMOS集成电路后端设计与实战 下载 epub mobi pdf txt 电子书 2024

CMOS集成电路后端设计与实战 pdf 下载 mobi 下载 pub 下载 txt 电子书 下载 2024

CMOS集成电路后端设计与实战 mobi pdf epub txt 电子书 下载 2024

CMOS集成电路后端设计与实战 epub pdf mobi txt 电子书 下载
想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

读者评价

评分

趁着搞活动买的,超级划算

评分

比较新的书,内容对初学者有帮助

评分

还可以了

评分

书很好,值得购买

评分

帮单位买的,京东书籍很全,送货快

评分

书很不错,很有用

评分

搞活动价格比较实惠的,以后买书就在京东了。

评分

趁着搞活动买的,超级划算

评分

实用

CMOS集成电路后端设计与实战 epub pdf mobi txt 电子书 下载 2024

类似图书 点击查看全场最低价

CMOS集成电路后端设计与实战 epub pdf mobi txt 电子书 下载 2024


分享链接









相关书籍


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.tinynews.org All Rights Reserved. 静思书屋 版权所有