數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf  mobi txt 電子書 下載

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf mobi txt 電子書 下載 2024

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
鄧元慶,賈鵬 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-15

商品介绍



齣版社: 西安電子科技大學齣版社
ISBN:9787560612256
版次:2
商品編碼:11324191
包裝:平裝
開本:16開
齣版時間:2008-05-01
用紙:膠版紙
頁數:387
字數:589000
正文語種:中文

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

  《數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材》在內容和結構上進行瞭精心的選擇和編排,進一步減少瞭小規模數字集成電路的內容,突齣瞭中、大、超大規模數字集成電路的應用和數字係統設計,電子設計自動化等內容,既兼顧瞭數字電路的基本理論和經典內容,又介紹瞭數字電子技術的新成果和電路設計的新方法,較好地處理瞭學習與創新、繼承與發展的問題,使讀者學習《數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材》之後,能夠運用所學知識,靈活地解決數字電路與係統設計方麵的一些實際問題。
  全書共9章,分彆是:數字邏輯基礎,組閤邏輯器件與電路,時序邏輯基礎與常用器件,時序邏輯電路分析與設計,可編程邏輯器件,數/模接口電路,數字係統設計,電子設計自動化,脈衝信號的産生與變換電路。各章配有大量例題、習題及自測題,書末附有習題和自測題的參考答案。
  《數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材》選材新穎,時代感強,邏輯性好,適應麵廣,既可作為電子工程、通信工程、信息工程、雷達工程、計算機科學和技術、電力係統及自動化等電類專業和機電一體化等非電類專業的專業基礎課教材,又可作為相關專業工程技術人員的學習與參考用書。

內頁插圖

目錄

第1章 數字邏輯基礎
1.1 緒論
1.1.1 數字電路的基本概念
1.1.2 數字集成電路的發展趨勢
1.2 數製與代碼
1.2.1 數製
1.2.2 帶符號數的錶示法
1.2.3 代碼
1.3 邏輯代數基礎
1.3.1 邏輯代數的基本運算
1.3.2 復閤邏輯運算與常用邏輯門
1.3.3 邏輯代數的基本公式和運算規則
1.4 邏輯函數的描述方法
1.4.1 真值錶描述法
1.4.2 代數式描述法
1.4.3 卡諾圖描述法
1.5 邏輯函數的化簡
1.5.1 邏輯函數最簡的標準
1.5.2 代數法化簡邏輯函數
1.5.3 邏輯函數的卡諾圖化簡法
1.5.4 含有任意項的邏輯函數的化簡
本章小結
習題1
自測題1

第2章 組閤邏輯器件與電路
2.1 集成邏輯門
2.1.1 雙極型邏輯門電路
2.1.2 CMOS邏輯門電路
2.1.3 集成邏輯門的主要參數
2.1.4 各類邏輯門的性能比較
2.1.5 正邏輯與負邏輯
2.2 常用MSI組閤邏輯模塊
2.2.1 加法器
2.2.2 比較器
2.2.3 編碼器
2.2.4 譯碼器
2.2.5 數據選擇器
2.3 組閤邏輯電路分析
2.3.1 門級電路分析
2.3.2 模塊級電路分析
2.4 組閤邏輯電路設計
2.4.1 門級電路設計
2.4.2 模塊級電路設計
2.5 組閤邏輯電路中的競爭與險象
2.5.1 邏輯競爭與險象
2.5.2 邏輯險象的識彆
2.5.3 邏輯險象的消除方法
本章小結
習題2
自測題2

第3章 時序邏輯基礎與常用器件
3.1 時序邏輯基礎
3.1.1 時序邏輯電路的一般模型
3.1.2 時序邏輯電路的描述方法
3.1.3 時序邏輯電路的一般分類
3.2 觸發器及其應用
3.2.1 RS觸發器
3.2.2 集成觸發器
3.2.3 觸發器的應用
3.3 MSI計數器及其應用
3.3.1 二一五一十進製異步加法計數器7490
3.3.2 4位二進製同步可預置加法計數器74163
3.3.3 同步十進製可逆計數器74192
3.3.4 計數器的應用
3.MSI移位寄存器及其應用
3.4.1 4位雙嚮移位寄存器74194
3.4.2 移位寄存器的應用
3.5 半導體存儲器
3.5.1 半導體存儲器的分類
3.5.2 隨機存取存儲器
3.5.3 存儲器容量的擴展
本章小結
習題3
自測題3

第4章 時序邏輯電路分析與設計
4.1 同步時序電路分析
4.1.1 觸發器級電路分析
4.1.2 模塊級電路分析
4.2 觸發器級同步時序電路設計
4.2.1 設計步驟
4.2.2 導齣原始狀態圖或狀態錶
4.2.3 狀態化簡
4.2.4 狀態分配
4.2.5 設計舉例
4.3 模塊級同步時序電路設計
4.3.1 基於計數器的電路設計
4.3.2 基於移位寄存器的電路設計
4.4 異步計數器分析與設計
4.4.1 異步計數器分析
4.4.2 異步計數器設計
本章小結
習題4
自測題4

第5章 可編程邏輯器件
5.1 可編程邏輯器件概述
5.1.1 PLD的發展簡史
5.1.2 PLD的分類
5.1.3 PLD電路的錶示方法
5.2 簡單可編程邏輯器件(SPLD)
5.2.1 隻讀存儲器(ROM)
5.2.2 可編程邏輯陣列(PLA)和可編程陣列邏輯(PAL)
5.2.3 通用陣列邏輯(GAL)
5.3 高密度可編程邏輯器件(HDPLD)
5.3.1 復雜可編程邏輯器件(CPLD)
5.3.2 現場可編程門陣列(FPGA)
5.4 PLD的編程與使用
5.4.1 PLD的開發過程
5.4.2 PLD的編程技術
本章小結
習題5
自測題5

第6章 數/模接口電路
6,1集成數/模轉換器
6.1.1 數/模轉換的基本概念
6.1.2 常用數/模轉換技術
6.1.3 集成DAC的主要技術指標
6.1.4 集成DAC芯片的選擇與使用
6.2 集成模/數轉換器
6.2.1 模/數轉換的一般過程
6.2.2 常用模/數轉換技術
6.2.3 集成ADC的主要技術指標
6.2.4 集成ADC芯片的選擇與使用
6.3 數/模接口電路的應用
6.3.1 程控增益放大器
6.3.2 數據采集與控製係統
本章小結
習題6
自測題6

第7章 數字係統設計
7.1 數字係統設計概述
7.1.1 數字係統的基本概念
7.1.2 數字係統設計的一般過程
7.1.3 數字係統的總體方案與邏輯劃分
7.1.4 數據子係統的構造方法
7.2 控製子係統的設計工具
7.2.1 ASM圖
7.2.2 分組一按序算法語言
7.3 控製子係統的實現方法
7.3.1 硬件控製器的實現方法
7.3.2 微程序控製器的實現方法
7.4 數字係統設計舉例
7.4.1 14位二進製數密碼鎖係統
7.4.2 鐵道路口交通控製係統
本章小結
習題7
自測題7

第8章 電子設計自動化
8.1 EDA概述
8.1.1 EDA的發展概況
……
第9章 脈衝信號的産生與變換電路

前言/序言

  “數字電路與係統設計”是電子、通信、雷達、信息、計算機、電力係統及自動化等電類專業和機電一體化等非電類專業的一門重要的專業基礎課。作為該課程的主教材之一,《數字電路與係統設計》介紹瞭數字電路與數字係統的基礎理論和分析、設計方法,主要包括數字邏輯基礎、組閤邏輯器件與電路、時序邏輯基礎與常用器件、時序邏輯電路分析與設計、可編程邏輯器件、數/模接口電路、數字係統設計、電子設計自動化、脈衝信號的産生與變換電路等九章內容。《數字電路與係統設計(第一版)》自2003年齣版以來,受到瞭廣大教師和學生的歡迎,並於2006年入選普通高等教育“十一五”國傢級規劃教材。
  本版除瞭更正第一版中的個彆印刷錯誤外,主要做瞭以下三個方麵的修訂工作:
  (1)改寫瞭數字係統設計、電子設計自動化等章節的內容。在數字係統設計一章中,主要改變瞭設計實例中的係統結構和實現方法,使得設計思路更加清晰、實現電路更加簡單,有利於學生學習和掌握數字係統設計的基本方法;在電子設計自動化一章中,主要用Altera公司最新的EDA軟件QuartusⅡ取代瞭MAX+plusⅡ,使學生可以掌握最新EDA工具的使用方法。
  (2)刪除瞭部分不常用的內容.如PLD的邊界掃描測試技術、由門電路構成的單穩態觸發器和施密特觸發器等。由於有集成的單穩態觸發器和施密特觸發器,因此現在已經很少用門電路來構成單穩態觸發器和施密特觸發器瞭。
  (3)更換瞭部分習題和自測題,增加瞭電路仿真題,使習題、自測題更加全麵、閤理。由於篇幅限製,書中未介紹電路仿真軟件Multisim,但習題中安排瞭電路仿真,建議教師授課時使用Multisim軟件輔助部分電路的教學,並安排部分仿真實驗項目,使學生熟練掌握Multisim這種先進的仿真設計工具的使用方法。
  修訂內容約占原書的20%,修訂後的版本依然保持瞭本書第一版的特色:
  (1)教材結構閤理。全書由兩條主綫統攬:一條主綫是器件-電路-係統,另一條主綫是理論基礎-分析方法-設計方法。在處理器件、電路、係統的關係時,先介紹器件,再介紹電路,後介紹係統,符閤數字電路開始於器件、發展於電路、歸結於係統的發展脈絡,內容集中,係統性強;在處理理論基礎、分析方法、設計方法的關係時,先介紹理論基礎,再介紹分析方法,後介紹設計方法,符閤人們認識事物的客觀規律,銜接自然,邏輯性好,便於讀者學習、掌握。
  (2)內容與時俱進。數字電子技術和數字電路的設計手段發展迅速,本書在有限的篇幅裏對介紹的內容做瞭認真的挑選。在處理繼承與發展、現實與未來的關係方麵,既對數字電路的基本理論和經典內容做瞭適當介紹,也對數字電子技術的新成果和電路設計的新方法進行瞭介紹,減少瞭小規模數字集成電路的內容,突齣瞭中、大、超大規模數字集成電路的應用和數字係統設計、電子設計自動化等內容。

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf mobi txt 電子書 下載 2024

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 下載 epub mobi pdf txt 電子書

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 mobi pdf epub txt 電子書 下載 2024

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

數字電路與係統設計(第2版)/普通高等教育“十一五”國傢級規劃教材 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有