ad holder

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf  mobi txt 電子書 下載

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf mobi txt 電子書 下載 2024

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
硃正偉,王其紅,韓學超 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-04-27

商品介绍



齣版社: 清華大學齣版社
ISBN:9787302312604
版次:2
商品編碼:11186635
品牌:清華大學
包裝:平裝
開本:16開
齣版時間:2013-03-01
用紙:膠版紙
頁數:360
字數:589000
正文語種:中文

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

內容簡介

  《21世紀高等學校規劃教材·電子信息:EDA技術及應用(第2版)》在編寫時突破傳統課程體係的製約,對課程體係等進行綜閤改革,融入瞭本領域最新的科研與教學改革成果,確保課程的係統性與先進性,使之能更好地適應21世紀人纔培養模式的需要。教材的主要特點有:①創新性。本教材突破傳統的VHDL語言教學模式和流程,將普遍認為較難學習的VHDL用全新的教學理念和編排方式給齣,並與EDA工程技術有機結閤,達到瞭良好的教學效果,同時大大縮短瞭授課時數。全書以數字電路設計為基點,從實例的介紹中引齣VHDL語句語法內容,通過一些簡單、直觀、典型的實例,將VHDL中最核心、最基本的內容解釋清楚,使讀者在很短的時間內就能有效地把握VHDL的主乾內容,並付諸設計實踐。②係統性。本教材內容全麵,注重基礎,理論聯係實際,並使用大量圖錶說明問題,編寫簡明精練、針對性強,設計實例都通過瞭編譯,設計文件和參數選擇都經過驗證,便於讀者對內容的理解和掌握。③實用性。
  《21世紀高等學校規劃教材·電子信息:EDA技術及應用(第2版)》注重實用、講述清楚、由淺入深,書中的實例具有很高的參考價值和實用價值,能夠使讀者掌握較多的實戰技能和經驗。它既可作為高等院校電氣、自動化、計算機、通信、電子類專業的研究生、本科生的教材或參考書,也可供廣大ASIC設計人員和電子電路設計人員閱讀參考。

目錄

第1章 eda技術概述
1.1 eda技術及其發展
1.1.1 eda技術含義
1.1.2 eda技術的發展曆程
1.1.3 eda技術的基本特徵
1.2 eda技術的實現目標與asic設計
1.2.1 eda技術的實現目標
1.2.2 asic的特點與分類
1.2.3 asic的設計方法
1.2.4 ip核復用技術與soc設計
1.3 硬件描述語言
1.3.1 vhdl
1.3.2 verilog hdl
1.3.3 abel?hdl
1.3.4 vhdl和verilog hdl的比較
1.4 常用eda工具
1.4.1 設計輸入編輯器
1.4.2 綜閤器
1.4.3 仿真器
1.4.4 適配器
1.4.5 編程下載
1.5 eda的工程設計流程
1.5.1 設計輸入
1.5.2 綜閤
1.5.3 適配
1.5.4 時序仿真與功能仿真
1.5.5 編程下載
1.5.6 硬件測試
1.6 max+plus ⅱ集成開發環境
1.6.1 max+plus ⅱ簡介
1.6.2 軟件的安裝
1.6.3 軟件組成
1.6.4 設計流程
1.7 quartus ⅱ集成開發環境
1.7.1 quartus ⅱ簡介
1.7.2 quartus ⅱ 9.0軟件的安裝
1.7.3 quartus ⅱ 9.0圖形用戶界麵介紹
1.8 eda技術發展趨勢
思考題與習題

第2章 可編程邏輯器件
2.1 可編程邏輯器件概述
2.1.1 pld發展曆程
2.1.2 目前流行可編程器件的特點
2.1.3 可編程邏輯器件的基本結構和分類
2.1.4 pld相對於mcu的優勢所在
2.2 cpld的結構與工作原理
2.2.1 cpld的基本結構
2.2.2 altera公司max7000係列cpld簡介
2.3 fpga的結構與工作原理
2.3.1 fpga的基本結構
2.3.2 cyclone ⅲ係列器件的結構原理
2.4 可編程邏輯器件的測試技術
2.4.1 內部邏輯測試
2.4.2 jtag邊界掃描
2.4.3 嵌入式邏輯分析儀
2.5 cpld/fpga的編程與配置
2.5.1 cpld在係統編程
2.5.2 fpga配置方式
2.5.3 fpga專用配置器件
2.5.4 使用單片機配置fpga
2.5.5 使用cpld配置fpga
2.6 cpld/fpga開發應用選擇
思考題與習題

第3章 原理圖輸入設計方法
3.1 原理圖設計方法
3.1.1 內附邏輯函數
3.1.2 編輯規則
3.1.3 原理圖編輯工具
3.1.4 原理圖編輯流程
3.1.5 設計項目的處理
3.1.6 設計項目的校驗
3.1.7 器件編程
3.2 1位全加器設計
3.2.1 建立文件夾
3.2.2 輸入設計項目和存盤
3.2.3 將設計項目設置成工程文件
3.2.4 選擇目標器件並編譯
3.2.5 時序仿真
3.2.6 引腳鎖定
3.2.7 編程下載
3.2.8 設計頂層文件
3.3 數字電子鍾設計
3.3.1 六十進製計數器設計
3.3.2 十二進製計數器設計
3.3.3 數字電子鍾頂層電路設計
3.4 利用lpm兆功能塊的電路設計
3.4.1 常用lpm兆功能塊
3.4.2 基於lpm_counter的數據分頻器設計
3.4.3 製作一個兆功能模塊
3.5 波形輸入設計
3.5.1 創建波形設計新文件並指定工程名稱
3.5.2 創建輸入、輸齣和隱埋節點
3.5.3 編輯隱埋狀態機節點波形
3.5.4 編輯輸入和輸齣節點波形
3.5.5 查看波形情況
3.5.6 保存文件並檢查錯誤
3.5.7 創建默認的功能模塊
思考題與習題

第4章 vhdl設計初步
4.1 概述
4.1.1 常用硬件描述語言簡介
4.1.2 vhdl的特點
4.1.3 vhdl程序設計約定
4.2 vhdl語言的基本單元及其構成
4.2.1 2選1多路選擇器的vhdl描述
4.2.2 vhdl程序的基本結構
4.2.3 實體
4.2.4 結構體
4.3 vhdl文本輸入設計方法初步
4.3.1 項目建立與vhdl源文件輸入
4.3.2 將當前設計設定為工程
4.3.3 選擇vhdl文本編譯版本號和排錯
4.3.4 時序仿真
4.4 vhdl程序設計舉例
4.4.1 d觸發器的vhdl描述
4.4.2 1位二進製全加器的vhdl描述
4.4.3 4位加法計數器的vhdl描述
思考題與習題

第5章 vhdl設計進階
5.1 vhdl語言要素
5.1.1 vhdl文字規則
5.1.2 vhdl數據對象
5.1.3 vhdl數據類型
5.1.4 vhdl操作符
5.2 vhdl順序語句
5.2.1 賦值語句
5.2.2 轉嚮控製語句
5.2.3 wait語句
5.2.4 子程序調用語句
5.2.5 返迴語句
5.2.6 null語句
5.2.7 其他語句
5.3 vhdl並行語句
5.3.1 進程語句
5.3.2 並行信號賦值語句
5.3.3 塊語句
5.3.4 並行過程調用語句
5.3.5 元件例化語句
5.3.6 生成語句
5.4 子程序
5.4.1 函數
5.4.2 重載函數
5.4.3 過程
5.4.4 重載過程
5.5 庫、程序包及其配置
5.5.1 庫
5.5.2 程序包
5.5.3 配置
5.6 vhdl描述風格
5.6.1 行為描述
5.6.2 數據流描述
5.6.3 結構描述
5.7 常用單元的設計舉例
5.7.1 組閤邏輯電路設計
5.7.2 時序邏輯電路設計
5.8 vhdl與原理圖混閤設計方式
5.8.1 4位二進製計數器的vhdl設計
5.8.2 七段顯示譯碼器的vhdl設計
5.8.3 頂層文件原理圖設計
5.8.4 查看工程的層次結構
思考題與習題

第6章 有限狀態機設計
6.1 概述
6.1.1 關於狀態機
6.1.2 狀態機的特點
6.1.3 狀態機的基本結構和功能
6.2 一般有限狀態機的設計
6.2.1 一般有限狀態機的組成
6.2.2 設計實例
6.3 moore型狀態機的設計
6.3.1 多進程moore型有限狀態機
6.3.2 用時鍾同步輸齣的moore型有限狀態機
6.4 mealy型有限狀態機的設計
6.4.1 多進程mealy型有限狀態機
6.4.2 用時鍾同步輸齣信號的mealy型狀態機
6.5 狀態編碼
6.5.1 狀態位直接輸齣型編碼
6.5.2 順序編碼
6.5.3 一位熱碼編碼
6.6 狀態機剩餘狀態處理
思考題與習題

第7章 quartus ⅱ工具應用初步
7.1 quartus ⅱ一般設計流程
7.2 quartus ⅱ設計實例
7.2.1 實例設計說明
7.2.2 模塊的層次劃分
7.2.3 創建工程
7.2.4 建立設計輸入文件
7.2.5 分析綜閤
7.2.6 布局布綫
7.2.7 建立約束重編譯
7.2.8 仿真
7.2.9 編程及配置
7.2.10 signaltap ⅱ邏輯分析儀實時測試

第8章 數字電子係統設計實踐
8.1 移位相加8位硬件乘法器設計
8.1.1 硬件乘法器的功能
8.1.2 硬件乘法器的設計思路
8.1.3 硬件乘法器的設計
8.1.4 硬件乘法器的波形仿真
8.2 十字路口交通管理器設計
8.2.1 交通管理器的功能
8.2.2 交通管理器的設計思路
8.2.3 交通管理器的設計
8.2.4 交通管理器的波形仿真
8.3 可編程定時/計數器設計
8.3.1 可編程定時/計數器的功能
8.3.2 可編程定時/計數器的設計思路
8.3.3 可編程定時/計數器的設計
8.3.4 可編程定時/計數器的波形仿真
8.4 智能函數發生器設計
8.4.1 智能函數發生器的功能
8.4.2 智能函數發生器的設計思路
8.4.3 智能函數發生器各模塊設計
8.4.4 智能函數發生器的波形仿真
8.5 數據采集係統設計
8.5.1 數據采集係統的功能
8.5.2 數據采集係統的設計思路
8.5.3 數據采集係統各模塊設計
8.5.4 數據采集係統的波形仿真
8.6 乒乓遊戲機設計
8.6.1 乒乓遊戲機的功能
8.6.2 乒乓遊戲機的設計思路
8.6.3 乒乓遊戲機各模塊設計
8.6.4 乒乓遊戲機的波形仿真
8.7 數字頻率計設計
8.7.1 數字頻率計的功能
8.7.2 數字頻率計的設計思路
8.7.3 數字頻率計各模塊的設計和實現
8.7.4 數字頻率計的綜閤設計
8.7.5 數字頻率計的波形仿真
8.8.3 層電梯控製器設計
8.8.13 層電梯控製器的功能
8.8.23 層電梯控製器的設計思路
8.8.33 層電梯控製器的綜閤設計
8.8.43 層電梯控製器的波形仿真
8.9 計算器設計
8.9.1 計算器的功能
8.9.2 計算器的設計思路
8.9.3 計算器各模塊的設計和實現
8.9.4 計算器的綜閤設計
8.9.5 計算器的波形仿真
8.10 健身遊戲機設計
8.10.1 健身遊戲機的功能
8.10.2 健身遊戲機的設計思路
8.10.3 健身遊戲機的綜閤設計
8.10.4 健身遊戲機的波形仿真
8.11crc校驗設計
8.11.1 crc校驗編碼原理
8.11.2 crc校驗設計實例
8.12 綫性時不變fir濾波器設計
8.12.1 綫性時不變濾波器原理
8.12.2 綫性時不變濾波器設計流程
8.12.3 綫性時不變濾波器設計實例
參考文獻

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf mobi txt 電子書 下載 2024

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 下載 epub mobi pdf txt 電子書

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 mobi pdf epub txt 電子書 下載 2024

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

EDA技術及應用(第2版)/21世紀高等學校規劃教材·電子信息 epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有