EDA技術與應用(第4版) epub pdf  mobi txt 電子書 下載

EDA技術與應用(第4版) epub pdf mobi txt 電子書 下載 2024

EDA技術與應用(第4版) epub pdf mobi txt 電子書 下載 2024


簡體網頁||繁體網頁
江國強 著

下載链接在页面底部


點擊這裡下載
    


想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-03

商品介绍



齣版社: 電子工業齣版社
ISBN:9787121193156
版次:1
商品編碼:11181824
包裝:平裝
叢書名: 電子信息科學與工程類專業規劃教材
開本:16開
齣版時間:2013-01-01
頁數:318

EDA技術與應用(第4版) epub pdf mobi txt 電子書 下載 2024



类似图書 點擊查看全場最低價

相关書籍





書籍描述

編輯推薦

  《EDA技術與應用(第4版)》編著者江國強。 EDA是電子設計自動化(Electronic Design Automation)的縮寫,在20世紀90年代初從計算機輔助設計(CAD)、計算機輔助製造(CAM)、計算機輔助測試(CAT‘)和計算機輔助工程(CAE)的概念發展而來的。EDA技術是以計算機為工具,設計者在EDA軟件平颱上,用硬件描述語言(HDI.)完成設計文件,然後由計算機自動地完成邏輯編譯、化簡、分割、綜閤、優化、布局、布綫、仿真,直至對於特定目標芯片的適配編譯、邏輯映射和編程下載等工作。EDA技術的齣現,極大地提高瞭電路設計的效率和可靠性,減輕瞭設計者的勞動強度。

內容簡介

  《EDA技術與應用(第4版)》編著者江國強。
  本書分為7章,包括EDA技術概述、EDA工具軟件的使用方法、VHDL、 Verilog HDL、常用EDA工具軟件、可編程邏輯器件和EDA技術的應用。另外 ,附錄部分介紹瞭偉福EDA6000和友晶DE2等,EDA實驗開發係統的使用方法 ,供具有不同實驗設備的讀者學習或參考。
  EDA是當今世界上最先進的電子電路設計技術,它的重要作用逐步被我 國的産業界、科技界和教育界認可。本書可作為高等院校工科電子類、通 信信息類、自動化類專業“EDA技術”課程的教材,也可供相關專’業的技 術人員參考。

目錄

第1章 EDA技術概述 1
1.1 EDA技術及發展 1
1.2 EDA設計流程 2
1.2.1 設計準備 2
1.2.2 設計輸入 3
1.2.3 設計處理 3
1.2.4 設計校驗 4
1.2.5 器件編程 4
1.2.6 器件測試和設計驗證 5
1.3 硬件描述語言 5
1.3.1 VHDL 5
1.3.2 Verilog HDL 6
1.3.3 AHDL 6
1.4 可編程邏輯器件 6
1.5 常用EDA工具 7
1.5.1 設計輸入編輯器 7
1.5.2 仿真器 8
1.5.3 HDL綜閤器 8
1.5.4 適配器(布局布綫器) 8
1.5.5 下載器(編程器) 9
本章小結 9
思考題和習題 9
第2章 EDA工具軟件的使用方法 10
2.1 Quartus II軟件的主界麵 10
2.2 Quartus II的圖形編輯輸入法 12
2.2.1 編輯輸入圖形設計文件 12
2.2.2 編譯設計文件 16
2.2.3 仿真設計文件 18
2.2.4 編程下載設計文件 22
2.3 Quartus II宏功能模塊的使用方法 28
2.3.1 設計原理 28
2.3.2 編輯輸入頂層設計文件 28
2.3.3 仿真頂層設計文件 34
2.3.4 圖形文件的轉換 35
2.4 嵌入式邏輯分析儀的使用方法 37
2.4.1 打開SignalTap II編輯窗口 37
2.4.2 調入節點信號 38
2.4.3 參數設置 39
2.4.4 文件存盤 39
2.4.5 編譯與下載 39
2.4.6 運行分析 39
2.5 嵌入式鎖相環的設計方法 40
2.5.1 嵌入式鎖相環的設計 40
2.5.2 嵌入式鎖相環的仿真 43
2.5.3 使用嵌入式邏輯分析儀觀察嵌入式鎖相環的設計結果 43
2.6 設計優化 44
2.6.1 麵積與速度的優化 44
2.6.2 時序約束與選項設置 45
2.6.3 Fitter設置 45
2.7 Quartus II的RTL閱讀器 45
本章小結 46
思考題和習題 47
第3章 VHDL 48
3.1 VHDL設計實體的基本結構 48
3.1.1 庫、程序包 49
3.1.2 實體 49
3.1.3 結構體 50
3.1.4 配置 50
3.1.5 基本邏輯器件的VHDL描述 51
3.2 VHDL語言要素 54
3.2.1 VHDL文字規則 54
3.2.2 VHDL數據對象 56
3.2.3 VHDL數據類型 57
3.2.4 VHDL的預定義數據類型 57
3.2.5 IEEE預定義的標準邏輯位和矢量 59
3.2.6 用戶自定義數據類型方式 59
3.2.7 VHDL操作符 59
3.2.8 VHDL的屬性 62
3.3 VHDL的順序語句 63
3.3.1 賦值語句 64
3.3.2 流程控製語句 64
3.3.3 WAIT語句 70
3.3.4 ASSERT(斷言)語句 71
3.3.5 NULL(空操作)語句 71
3.4 並行語句 71
3.4.1 PROCESS(進程)語句 72
3.4.2 塊語句 73
3.4.3 並行信號賦值語句 74
3.4.4 子程序和並行過程調用語句 76
3.4.5 元件例化(COMPONENT)語句 78
3.4.6 生成語句 80
3.5 VHDL的庫和程序包 82
3.5.1 VHDL庫 82
3.5.2 VHDL程序包 83
3.6 VHDL設計流程 84
3.6.1 編輯VHDL源程序 84
3.6.2 設計8位計數顯示譯碼電路頂層文件 86
3.6.3 編譯頂層設計文件 87
3.6.4 仿真頂層設計文件 87
3.6.5 下載頂層設計文件 88
3.7 VHDL仿真 88
3.7.1 VHDL仿真支持語句 88
3.7.2 VHDL測試平颱軟件的設計 90
本章小結 94
思考題和習題 94
第4章 Verilog HDL 97
4.1 Verilog HDL設計模塊的基本結構 97
4.1.1 模塊端口定義 97
4.1.2 模塊內容 98
4.2 Verilog HDL的詞法 100
4.2.1 空白符和注釋 100
4.2.2 常數 100
4.2.3 字符串 101
4.2.4 關鍵詞 101
4.2.5 標識符 101
4.2.6 操作符 101
4.2.7 Verilog HDL數據對象 105
4.3 Verilog HDL的語句 107
4.3.1 賦值語句 107
4.3.2 條件語句 108
4.3.3 循環語句 111
4.3.4 結構聲明語句 113
4.3.5 語句的順序執行與並行執行 116
4.4 不同抽象級彆的Verilog HDL模型 117
4.4.1 Verilog HDL的門級描述 118
4.4.2 Verilog HDL的行為級描述 118
4.4.3 用結構描述實現電路係統設計 120
4.5 Verilog HDL設計流程 122
4.5.1 編輯Verilog HDL源程序 122
4.5.2 設計BCD加法器電路頂層文件 124
4.5.3 編譯頂層設計文件 125
4.5.4 仿真頂層設計文件 125
4.5.5 下載頂層設計文件 125
4.6 Verilog HDL仿真 125
4.6.1 Verilog HDL仿真支持語句 125
4.6.2 Verilog HDL測試平颱軟件的設計 128
本章小結 131
思考題和習題 132
第5章 常用EDA工具軟件 134
5.1 ModelSim 134
5.1.1 ModelSim的圖形用戶交互方式 134
5.1.2 ModelSim的交互命令方式 138
5.1.3 ModelSim的批處理工作方式 140
5.1.4 ModelSim與Quartus II的接口 141
5.2 Quartus II 9.0軟件的使用方法 142
5.2.1 Quartus II軟件的安裝 142
5.2.2 Quartus II軟件的主界麵 144
5.2.3 Quartus II的仿真方法 145
5.2.4 Quartus II宏功能模塊的使用方法 149
5.2.5 在Quartus II 9.0中使用ModelSim仿真 151
5.3 基於Matlab/DSP Builder的DSP模塊設計 156
5.3.1 設計原理 157
5.3.2 建立Matlab設計模型 157
5.3.3 Matlab模型仿真 162
5.3.4 Signal Compiler使用方法 164
5.3.5 使用ModelSim仿真 166
5.3.6 硬件實現與測試 167
5.3.7 DSP Builder的層次設計 169
5.4 Nios II嵌入式係統開發軟件 169
5.4.1 Nios II的硬件開發 170
5.4.2 調整SDRAM地址 177
5.4.3 生成Nios II硬件係統 177
5.4.4 Nios II係統的調試 193
5.4.5 Nios II的常用組件與編程 198
5.4.6 SOPC的應用 206
5.5 Qsys係統集成軟件 216
5.5.1 Qsys的硬件開發 216
5.5.2 Qsys係統的編譯與下載 220
5.5.3 Qsys係統的調試 222
本章小結 224
思考題和習題 225
第6章 可編程邏輯器件 226
6.1 PLD的基本原理 226
6.1.1 PLD的分類 226
6.1.2 陣列型PLD 229
6.1.3 現場可編程門陣列FPGA 232
6.1.4 基於查找錶(LUT)的結構 234
6.2 PLD的設計技術 236
6.2.1 PLD的設計方法 236
6.2.2 在係統可編程技術 237
6.2.3 邊界掃描技術 240
6.3 PLD的編程與配置 240
6.3.1 CPLD的ISP方式編程 241
6.3.2 使用PC的並口配置FPGA 241
6.4 Altera公司的PLD係列産品簡介 243
6.4.1 Altera高端Stratix FPGA係列 243
6.4.2 Altera中端FPGA的Arria係列 244
6.4.3 Altera低成本FPGA的Cyclone係列 245
6.4.4 Altera低成本CPLD的MAX係列 245
6.4.5 Altera硬件拷貝HardCopy ASIC係列 246
本章小結 246
思考題和習題 247
第7章 EDA技術的應用 248
7.1 組閤邏輯電路設計應用 248
7.1.1 運算電路設計 248
7.1.2 編碼器設計 249
7.1.3 譯碼器設計 251
7.1.4 數據選擇器設計 253
7.1.5 數據比較器設計 254
7.1.6 ROM的設計 256
7.2 時序邏輯電路設計應用 258
7.2.1 觸發器設計 258
7.2.2 鎖存器設計 260
7.2.3 移位寄存器設計 261
7.2.4 計數器設計 263
7.2.5 隨機讀寫存儲器RAM的設計 265
7.3 基於EDA的數字係統設計 267
7.3.1 計時器的設計 267
7.3.2 萬年曆的設計 271
7.3.3 8位十進製頻率計設計 275
本章小結 281
思考題和習題 282
附錄A EDA6000實驗開發係統 284
A.1 EDA600

前言/序言


EDA技術與應用(第4版) epub pdf mobi txt 電子書 下載 2024

EDA技術與應用(第4版) 下載 epub mobi pdf txt 電子書

EDA技術與應用(第4版) pdf 下載 mobi 下載 pub 下載 txt 電子書 下載 2024

EDA技術與應用(第4版) mobi pdf epub txt 電子書 下載 2024

EDA技術與應用(第4版) epub pdf mobi txt 電子書 下載
想要找書就要到 靜思書屋
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

讀者評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

EDA技術與應用(第4版) epub pdf mobi txt 電子書 下載 2024

类似图書 點擊查看全場最低價

EDA技術與應用(第4版) epub pdf mobi txt 電子書 下載 2024


分享鏈接





相关書籍


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.tinynews.org All Rights Reserved. 靜思書屋 版权所有