ad holder

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf  mobi txt 电子书 下载

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf mobi txt 电子书 下载 2024

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf mobi txt 电子书 下载 2024


简体网页||繁体网页
[瑞士] Christian Piguet,陈力颖 著

下载链接在页面底部


点击这里下载
    


想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-29

商品介绍



店铺: 小马奔腾图书专营店
出版社: 科学出版社
ISBN:9787030315687
商品编码:11049270024
包装:平装
出版时间:2011-07-01

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf mobi txt 电子书 下载 2024



类似图书 点击查看全场最低价

相关书籍





书籍描述

基本信息

书名:低功耗CMOS电路设计--逻辑设计与CAD工具

:65.00元

作者:(瑞士)Christian Piguet,陈力颖

出版社:科学出版社

出版日期:2011-07-01

ISBN:9787030315687

字数:528000

页码:397

版次:1

装帧:平装

开本:16开

商品重量:0.663kg

编辑推荐


《低功耗CMOS电路设计》着重叙述低功耗电路设计,包括工艺与器件、逻辑电路以及CAD设计工具三个方面的内容。在工艺器件方面,描述了低功耗电子学的历史、深亚微米体硅SOI技术的进展、CMOS纳米工艺中的漏电、纳米电子学与未来发展趋势、以及光互连技术;在低功耗电路方面,描述了深亚微米设计建模、低功耗标准单元、高速低功耗动态逻辑与运算电路、以及在结构、电路、器件的各个层面上的低功耗设计技术,包括时钟、互连、弱反型超低功耗设计和绝热电路;在低功耗CAD设计工具方面,描述了功耗模型与高层次功耗估计,国际上主要CAD公司的功耗设计工具以及低功耗设计流程。本书由(瑞士)christianPiguet主编。

内容提要


《低功耗CMOS电路设计》着重叙述低功耗电路设计,部分概述低功耗电子技术和深亚微米下体硅sOI技术的进展、CMOS纳米技术中的漏电流及光互连技术等;第二部分阐述深亚微米设计模型、低功耗标准单元、低功耗超高速动态逻辑与运算电路,以及在结构、电路、器件的各个层面上的低功耗设计技术;第三部分主要针对CAD设计工具及低功耗设计流程进行阐述。本书的内容来自低功耗集成电路设计领域三十多位国际知名学者和专家的具体实践,包括学术界与工业界多年来的研究设计成果与经验,所介绍的技术可以直接应用于产品设计。
《低功耗CMOS电路设计》可以作为微电子、电子科学与技术、集成电路等领域的研发、设计人员及工科院校相关专业师生的实用参考资料。本书由(瑞士)christianPiguet主编。

目录


第1部分 概述
第1章 低功耗电子技术的发展历史
1.1 引言
1.2 早期的计算机
1.3 晶体管和集成电路
1.4 低功耗消费类电子产品
1.5 功耗的快速增加
1.6 结论
参考文献
第2章 深亚微米下体硅技术与SOI技术的进展
2.1 引言
2.2 ITRS概述
2.3 晶体管的饱和电流和亚阈值电流
2.4 栅和其他隧道电流
2.5 晶体管电气参数的统计离差
2.6 栅氧化层物理厚度和电气厚度
2.7 晶体管的新结构
2.8 结论
参考文献
第3章 CMOS纳米技术中的漏电流
3.1 引言
3.2 MOSFET器件的ILEAK构成
3.3 尺寸缩放
3.4 电路级
3.5 结论
参考文献
第4章 微电子学、纳电子学及电子学的未来
4.1 引 言
4.2 作为纳电子器件的硅MOSFET
4.3 硅MOSFET的限
4.4 硅MOSFET的应用极限
4.5 硅MOSFET以外的晶体管
4.6 FET以外的晶体管
4.7 从微电子学到纳电子学
4.8 结论
4.9 致谢
参考文献
第5章 片上光互连的高级研究
5.1 互连问题
5.2 自顶向下的互连设计
5.3 信号通路中的无源光子器件
5.4 用于信号转换的有源器件
5.5 转换电路
5.6 键合问题
5.7 互连性能(光学系统与电学系统的比较)
5.8 研究方向
5.9 致 谢
参考文献
第2部分 低功耗电路
第6章 深亚微米工艺设计模型
6.1 引 言
6.2 电流模型
6.3 描述性能所使用单位的定义
6.4 在标准单元库中的应用
6.5 在低功耗设计中的应用
6.6 结 论
参考文献
第7章 逻辑电路和标准单元
7.1 引言
7.2 逻辑族
7.3 低功耗和标准单元库
7.4 对于特定应用的逻辑类型
7.5 结论
参考文献
第8章 低功耗超高速动态逻辑电路
8.1 引 言
8.2 单相时钟锁存器和触发器
8.3 高通量CMOS电路技术
8.4 快速有效的CMOS功能电路
8.5 动态逻辑的前景
8.6 结 论
参考文献
第9章 低功耗算法运算器
9.1 引 言
9.2 加 法
9.3 乘 法
9.4 其他运算器、数字系统和限制
参考文献
第10章 降低动态功耗的电路设计方法
10.1 引 言
10.2 动态功耗的形成
10.3 电路结构的平行化
10.4 改变固定电压降低功耗技术
10.5 不改变电路主体设计技术方法来降低电路的功耗
10.6 改变电路主体结构的设计技术
10.7 结 论
参考文献
第11章 低功耗设计中的硬件描述语言
11.1 引 言
11.2 基础知识
11.3 减少毛刺
11.4 时钟门控技术
11.5 有限状态机
11.6 数据通路
11.7 总线编码
11.8 结 论
11.9 致 谢
参考文献
第12章 工作时钟频率在数GHZ下的系统设计
12.1 引言
12.2 连续系统中的时钟设计注意事项
12.3 异步系统
12.4 全局异步一局部同步系统
12.5 结 论
参考文献
第13章 减小漏电流的电路设计方法
13.1 引言
13.2 漏电流的组成
13.3 逻辑电路设计中减小漏电流的技术
13.4 时序设计技术
13.5 运行状态下闲置漏电流减小技术
13.6 运行状态时漏电流减小技术
13.7 减小高速缓存中的漏电流技术
参考文献
第14章 SoC的低功耗和低电压通信
14.1 引 言
14.2 互连线的基础理论
14.3 与互连线相关的功耗
14.4 减小互连线功耗的办法
14.5 光互连线的分析
14.6 结论
参考文献
第15章 绝热与时钟供电电路
15.1 引言
15.2 绝热充电技术的原理
15.3 实现问题
15.4 结论
参考文献
第16章 用于基本低功耗逻辑的弱反型
16.1 引言
16.2 MOS弱反型区模型和假设
16.3 静态MOS反相器
16.4 CMOS反相器的动态特性
16.5 标准传输下反相器的特性
16.6 进入中等反型区与强反型区的效应
16.7 逻辑门和数值实例扩展
16.8 实际考虑和条件限制
16.9 结论
参考文献
第17章 低电压下数字电路的鲁棒性
17.1 引言
17.2 信号完整性
17.3 可靠性
17.4 结论
17.5 致谢
参考文献
第3部分 低功耗设计的CAD工具
第18章 高级功耗估计与分析
18.1 引言
18.2 低功耗应用的通用设计流程
18.3 系统级功耗分析
18.4 算法级功耗估计与分析
18.5 ORINOCO:一种算法级功耗估计工具
18.6 结论
参考文献
第19章 高级功耗估计的功耗宏模型
19.1 引言
19.2 RTL功耗建模
19.3 RTL功耗宏建模和估计
19.4 现实设置的RTL功耗估计
19.5 结论
19.6 致谢
参考文献
第20章 Synopsys低功耗设计流程
20.1 引 言
20.2 时钟门控
20.3 寄存器级的自动时钟门控
20.4 操作数隔离
20.5 逻辑优化
20.6 泄漏控制一一阈值管理
20.7 电压缩放
20.8 建模基础
20.9 分析流程
20.10 结论
参考文献
第21章 Magma低功耗流程
21.1 引言
21.2 功耗
21.3 功耗分析
21.4 功耗优化
21.5 供电轨分析
21.6 电源网络综合
21.7 结论
第22章 功耗敏感设计的时序设计流程
22.1 引言
22.2 设计流程概述
22.3 用于功耗敏感设计的时序工具
22.4 设计实例
22.5 结论
参考文献

作者介绍


ChristianPiguet,瑞士Nyon人,分别在1974年和1981年获得洛桑联邦瑞士大学(EPFL)的电子工程硕士与博士学位。Piguet博士于1974年加入了瑞士纳沙泰尔Centre Electronique HorlogerS.A.实验室。主要研究钟表业的CMOS数字集成电路和嵌入式低功耗微处理器,以及基于门阵列方法的CAD工具。他目前是纳沙泰尔CSEMCentre Suisse d'Electronique et de MicrotechniqueS.A.实验室超低功耗部门的负责人,并参与低功耗和高速CMOS集成电路的设计与管理。他的主要兴趣包括低功耗微处理器与DSP、低功耗标准单元库、门控时钟和低功耗技术及异步设计。

文摘


序言



低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf mobi txt 电子书 下载 2024

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 下载 epub mobi pdf txt 电子书 2024

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 pdf 下载 mobi 下载 pub 下载 txt 电子书 下载 2024

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 mobi pdf epub txt 电子书 下载 2024

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf mobi txt 电子书 下载
想要找书就要到 静思书屋
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

读者评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf mobi txt 电子书 下载 2024

类似图书 点击查看全场最低价

低功耗CMOS电路设计--逻辑设计与CAD工具 9787030315687 epub pdf mobi txt 电子书 下载 2024


分享链接









相关书籍


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.tinynews.org All Rights Reserved. 静思书屋 版权所有